Optical Characteristic Sensed Patents (Class 438/7)
  • Patent number: 11963345
    Abstract: The present disclosure provides a semiconductor structure having a fin structure. The semiconductor includes a substrate defined with an active region. A first gate structure is disposed in the active region and includes a dielectric material. A second gate structure is disposed in the active region and includes the dielectric material. A fin structure having a first top surface is arranged to alternate with the first gate structure and the second gate structure. The first gate structure has a second top surface and the second gate structure has a third top surface. The second top surface and the third top surface are lower than the first top surface.
    Type: Grant
    Filed: March 24, 2023
    Date of Patent: April 16, 2024
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Min-Chung Cheng
  • Patent number: 11922616
    Abstract: An alignment device includes a holding device capable of holding the second workpiece, a moving device that moves the holding device toward the first workpiece, a mirror member capable of reflecting the second workpiece, the mirror member being arranged adjacent to the first workpiece, an image sensor arranged to be able to simultaneously and continuously capture the first workpiece and a mirror image of the second workpiece reflected on the mirror member, and a control device that performs feedback control of the moving device based on the calculated position of the second workpiece with respect to the first workpiece based on the first workpiece and the mirror image of the second workpiece, which are captured by the image sensor, to align the second workpiece with the first workpiece.
    Type: Grant
    Filed: December 6, 2019
    Date of Patent: March 5, 2024
    Assignee: OMRON CORPORATION
    Inventors: Katsuyuki Kawamata, Kensuke Tarumi
  • Patent number: 11870252
    Abstract: A method includes generating, external to a radio frequency (RF) environment and based on a process recipe, a first signal and a second signal. The method further includes converting the first signal into an alternative signal and transmitting, over a non-conductive communication link, the alternative signal to a converter within the RF environment within a processing chamber of a substrate processing system. The method further includes converting the alternative signal into a third signal by the converter inside the RF environment within the processing chamber. The method further includes controlling a first plurality of elements disposed within the RF environment within the processing chamber via one or more first devices disposed within the RF environment within the processing chamber using the third signal and controlling a second plurality of elements of the substrate processing system via one or more second devices of the substrate processing system using the second signal.
    Type: Grant
    Filed: October 26, 2020
    Date of Patent: January 9, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Phillip Criminale, Steve E. Babayan, Scott Edmonson, Phillip R. Sommer, Dan A. Marohl, Chris Blank
  • Patent number: 11810829
    Abstract: An apparatus for inspecting light emitting elements, a method of inspecting light emitting elements using the apparatus, and a method of manufacturing display device using the apparatus are provided. The apparatus includes a flow path unit extending in one direction and including a flow path along which a solution in which light emitting elements are mixed moves, at least one alignment unit to which the flow path extends from at least a portion of the flow path unit, a packaging unit at an end of the flow path unit and in which the light emitting elements are stacked, and a plurality of alignment electrodes on an outer surface of the alignment unit.
    Type: Grant
    Filed: April 5, 2021
    Date of Patent: November 7, 2023
    Assignee: Samsung Display Co., Ltd.
    Inventor: Seung Cheol Ko
  • Patent number: 11688651
    Abstract: Provided is a semiconductor structure including a substrate, at least two tested structures, an isolation structure, and a short-circuit detection structure. At least two tested structures are disposed on the substrate. The at least two tested structures include a conductive material. The isolation structure is sandwiched between at least two tested structures. The detection structure includes a detecting layer, and the detecting layer is disposed on one of the at least two tested structures, so that a short circuit defect between the at least two tested structures may be identified in an electron beam detecting process, and the detecting layer includes a conductive material. A manufacturing method of the semiconductor structure and a method for detecting a short circuit of the semiconductor structure are also provided.
    Type: Grant
    Filed: March 4, 2020
    Date of Patent: June 27, 2023
    Assignee: Winbond Electronics Corp.
    Inventors: Hung-Ming Su, Kazuaki Takesako, Chun-Chiao Tseng
  • Patent number: 11387152
    Abstract: According to one aspect of the technique, there is provided a technique, including: a process chamber in which a substrate is processed; a memory that stores recipe information describing a procedure that executes the processing of the substrate, process data accumulated during the processing of a plurality of substrates, variation quality data calculated from the process data, and comparison data to be compared with the variation quality data; a monitor configured to monitor the process data; an analyzer configured to compare the variation quality data with the comparison data to obtain a reproduction index indicating a reproducibility of the comparison data, and calculate a correction value of setting information included in the recipe information when the reproduction index is smaller than a predetermined value; and a controller configured to be capable of correcting the setting information included in the recipe information with the correction value.
    Type: Grant
    Filed: September 24, 2021
    Date of Patent: July 12, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Kazuyoshi Yamamoto, Hidemoto Hayashihara, Kayoko Yashiki, Kazuhide Asai
  • Patent number: 11222797
    Abstract: A light-emitting diode (LED) transfer device is provided. The LED transfer device includes a transfer assembly configured to move a first substrate, on which a plurality of LEDs are provided, above a second substrate, a laser light source configured to emit a laser beam toward the first substrate, a mask that is disposed between the first substrate and the laser light source and has a plurality of openings that are configured to be selectively exposed and blocked and a processor configured to control the transfer assembly to move the first substrate to a predetermined position and selectively rotate the first substrate, and control the mask to expose and block the plurality of openings corresponding to the plurality of LEDs to be transferred from the first substrate to the second substrate.
    Type: Grant
    Filed: December 31, 2019
    Date of Patent: January 11, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Doyoung Kwag, Eunhye Kim, Sangmoo Park, Minsub Oh, Yoonsuk Lee
  • Patent number: 11201057
    Abstract: A method may include providing a substrate, where the substrate includes a first main surface and a second main surface, opposite the first main surface. The second main surface may include a stress compensation layer. The method may include directing ions to the stress compensation layer in an ion implant procedure. The ion implant procedure may include exposing a first region of the stress compensation layer to a first implant process, wherein a second region of the stress compensation layer is not exposed to the first implant process.
    Type: Grant
    Filed: July 16, 2019
    Date of Patent: December 14, 2021
    Assignee: APPLIED Materials, Inc.
    Inventors: Scott Falk, Jun-Feng Lu, Qintao Zhang
  • Patent number: 11135854
    Abstract: A printing system includes a substrate support, a printhead assembly positioned facing the substrate support, and an imager. The printhead assembly includes a plurality of dispensing nozzles extending in an ejection direction towards the substrate support and a plurality of marks. The imager is movable relative to the printhead assembly and oriented in a direction opposite to the ejection direction for capturing at least one image including the plurality of marks indicating positions of the plurality of dispensing nozzles in the printhead assembly.
    Type: Grant
    Filed: November 13, 2019
    Date of Patent: October 5, 2021
    Assignee: Kateeva, Inc.
    Inventors: Christopher R. Hauf, David Darrow, David Donovan
  • Patent number: 11133650
    Abstract: Provided is a back side emitting light source array device and an electronic apparatus, the back side emitting light source array device includes a substrate, a distributed Bragg reflector (DBR) provided on a first surface of the substrate, a plurality of gain layers which are provided on the DBR, the plurality of gain layers being spaced apart from one another, and each of the plurality of gain layers being configured to individually generate light, and a nanostructure reflector provided on the plurality of gain layers opposite to the DBR, and including a plurality of nanostructures having a sub-wavelength shape dimension, wherein a reflectivity of the DBR is less than a reflectivity of the nanostructure reflector such that the light generated is emitted through the substrate.
    Type: Grant
    Filed: August 19, 2019
    Date of Patent: September 28, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Seunghoon Han, Byunghoon Na
  • Patent number: 11101150
    Abstract: A wafer grinding apparatus performs grinding processing for grinding a semiconductor wafer with a grindstone. The grindstone has a wear rate as a characteristic. The wear rate is 5% or more, and less than 200%. A determination part performs determination processing for determining whether a grinding state with respect to the semiconductor wafer is abnormal or normal, based on at least one of a load current of a motor and a grinding wear amount.
    Type: Grant
    Filed: April 2, 2019
    Date of Patent: August 24, 2021
    Assignee: Mitsubishi Electric Corporation
    Inventors: Naoyuki Takeda, Kazunari Nakata
  • Patent number: 11063756
    Abstract: Methods, systems and devices for using different encryption keys written into interconnects of different functional blocks in different integrated circuits to securely encrypt and authenticate firmware, data, instructions and other messages transmitted among said functional blocks; and methods, systems and devices to obfuscate encryption keys to significantly increase the time and resources required to compromise those keys, ensuring encrypted data is only decrypted by authorized functional blocks, applications or users. Unique keys, small enough not to impact substrate surface area available for other device functions, can be written by charged particle beams such that multiple (or each of) functional blocks has a corresponding key unique within an IC and across a line of ICs and so that access to said keys is as limited (or nonexistent) as desired.
    Type: Grant
    Filed: October 22, 2019
    Date of Patent: July 13, 2021
    Inventors: Kevin M. Monahan, David K. Lam, Theodore A. Prescop
  • Patent number: 11027655
    Abstract: A method is for installing a geometric reference marker on a substantially flat horizontal ground for calibration of electrical and/or electronic components of a motor vehicle. The installation method includes positioning the vehicle on the ground with wheels of the vehicle parallel to a longitudinal axis of the vehicle and positioning geometric referencing equipment on the ground around the vehicle. The referencing equipment includes a set of distinct elongate plates forming rulers. Each ruler has a planar bottom face resting at least partially on the ground and being sufficiently rigid not to be deformed in a plane of the bottom face. The positioning is performed by placing the rulers of the adjustment equipment on the ground according to a predetermined pattern, at a predetermined distance from the vehicle.
    Type: Grant
    Filed: September 4, 2017
    Date of Patent: June 8, 2021
    Assignee: RENAULT s.a.s.
    Inventors: Frederico Ferreira, Cedric Hollart
  • Patent number: 10978615
    Abstract: The present disclosure provides a light-emitting apparatus comprising a board having a plurality of first metal contacts and a plurality of second metal contacts on a top surface; a plurality of LEDs being bonded to the board, the each of the LEDs comprising a first cladding layer on the substrate, an active layer on the first cladding layer, a second cladding layer on the active layer, an upper surface on the second cladding layer, a first metal layer, and a second metal layer, wherein the first metal layer and the second metal layer are between the active layer and the board; an opaque layer between the adjacent LEDs and comprising a polymer mixed with a plurality of inorganic particles; and an encapsulating layer on the upper surfaces and opposite to the board, wherein the encapsulating layer does not cover a side wall of the active layer; and an underfill material between the board and the plurality of LEDs, wherein the underfill material surrounds each of the first metal layer and the second metal layer.
    Type: Grant
    Filed: March 24, 2020
    Date of Patent: April 13, 2021
    Assignee: Epistar Corporation
    Inventors: Min-Hsun Hsieh, Tzer-Perng Chen, Jen-Chau Wu, Yuh-Ren Shieh, Chuan-Cheng Tu
  • Patent number: 10907248
    Abstract: According to one embodiment, a manufacturing method of a magnetic recording medium includes measuring characteristics of multilayer film including a magnetic recording layer, calculating a residual between an index value to set a sputtering power and the characteristics, acquiring a feedback correction factor by calculating moving average deviations of the residual, and calculating a new index value of each layer in the multilayer film by using a calculation model which estimates the characteristics from calculated film thicknesses using a virtual metrology technique, referring to the feedback correction factor and performing backward calculation with a solver using an electronic calculator.
    Type: Grant
    Filed: September 13, 2017
    Date of Patent: February 2, 2021
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masaki Honda, Kenji Iwasa, Hidetaka Eguchi
  • Patent number: 10835991
    Abstract: A laser light irradiation device includes a laser light source, a spatial light modulator, a controller, an objective lens, and an intensity distribution acquisition unit. The laser light source generates laser light. The spatial light modulator includes a display unit configured to display a phase pattern, allows the laser light to enter the display unit, and modulates the laser light in accordance with the phase pattern to emit the laser light. The controller controls the phase pattern to be displayed. The objective lens converges the laser light emitted from the spatial light modulator at the object. The intensity distribution acquisition unit acquires an intensity distribution of the laser light emitted from the spatial light modulator and entering the objective lens. The controller displays, on the display unit, the phase pattern including a marking configured to modulate part, in the laser light, not entering a pupil plane of the objective lens.
    Type: Grant
    Filed: January 24, 2017
    Date of Patent: November 17, 2020
    Assignee: HAMAMATSU PHOTONICS K.K.
    Inventor: Junji Okuma
  • Patent number: 10832925
    Abstract: A heating plate is stored in a chamber. With a solvent containing gas present in the chamber, a substrate on which a DSA film is formed is held at a position further upward than the heating plate. Thus, neutralization of an atmosphere is performed at a temperature at which microphase separation does not occur. Thereafter, with the solvent containing gas present in the chamber, the substrate is held on an upper surface of the heating plate. Thus, thermal processing is performed on the DSA film on the substrate.
    Type: Grant
    Filed: August 4, 2017
    Date of Patent: November 10, 2020
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Masahiko Harumoto, Masaya Asai, Yuji Tanaka, Koji Kaneyama
  • Patent number: 10775692
    Abstract: A method for manufacturing a multilayer film-deposited substrate includes stacking a plurality of lamination units on the substrate while rotating the substrate around a rotational axis perpendicular to a substrate surface. Each of the lamination units has a plurality of layers formed by a dry deposition process. When a plurality of the multilayer film-deposited substrates are manufactured by the dry deposition process, a deposition is performed in a condition satisfying at least one of the following requirements (1) and (2), with estimating a change with time in a deposition rate: [Tdepo-unit/Tr<(m?0.02) or (m+0.02)<Tdepo-unit/Tr] (1), and [(n?0.02)?Ti/Tr?(n+0.02)] (2). m and n are independently any integer. Ti is a time interval between the depositions among each layer of the plurality of layers. Tdepo-unit is a deposition unit time required for depositing the one lamination unit. Tr is a rotation period of the substrate.
    Type: Grant
    Filed: August 2, 2017
    Date of Patent: September 15, 2020
    Assignee: AGC Inc.
    Inventors: Yunosuke Ishikawa, Masaki Mikami, Makoto Kurumisawa
  • Patent number: 10747128
    Abstract: In a method executed in an exposure apparatus, a focus control effective region and a focus control exclusion region are set based on an exposure map and a chip area layout within an exposure area. Focus-leveling data are measured over a wafer. A photo resist layer on the wafer is exposed with an exposure light. When a chip area of a plurality of chip areas of the exposure area is located within an effective region of a wafer, the chip area is included in the focus control effective region, and when a part of or all of a chip area of the plurality of chip areas is located on or outside a periphery of the effective region of the wafer, the chip area is included in the focus control exclusion region In the exposing, a focus-leveling is controlled by using the focus-leveling data measured at the focus control effective region.
    Type: Grant
    Filed: February 27, 2018
    Date of Patent: August 18, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yung-Yao Lee, Heng-Hsin Liu, Hung-Ming Kuo, Jui-Chun Peng
  • Patent number: 10695863
    Abstract: A method for controlled machining of a workpiece includes focusing a laser light beam on a target point of the workpiece to generate a laser focus point. An optical distance measuring device gathers measuring data to determine a distance between the target point and a laser target optics. The workpiece is positioned in relation to the laser focus point based on the distance measuring data gathered. The distance measuring device is a confocal optical distance measuring device having a measuring light source for generating a measuring light and having a variable-focal-length measuring lens system. The focal length of the variable-focal-length measuring lens system is varied over time to gather distance measuring data at different focal length values of the variable-focal-length measuring lens system. A device for controlled machining includes a laser light source, a laser target optics, a distance measuring device, a positioning device, and an evaluation and control unit.
    Type: Grant
    Filed: August 23, 2019
    Date of Patent: June 30, 2020
    Assignee: Precitec Optronik GmbH
    Inventor: Christoph Dietz
  • Patent number: 10672963
    Abstract: A method of manufacturing a substrate for a light emitting device includes: forming wiring to form a plurality of first wiring parts and second wiring parts on/above an upper surface of a base member; forming projection parts including a first projection part on each of the first wiring parts and a second projection part on each of the second wiring parts by forming a first metal film on a region including at least parts of the first wiring parts and the second wiring parts and etching the first metal film using a resist for forming projection part; and forming an alignment mark by forming a second metal film on the substrate and etching the second metal film using a resist, wherein the resist for forming the projection part and the resist for forming alignment mark are exposed to light in an identical step of exposing to light.
    Type: Grant
    Filed: August 29, 2018
    Date of Patent: June 2, 2020
    Assignee: NICHIA CORPORATION
    Inventor: Takuya Nakabayashi
  • Patent number: 10668758
    Abstract: An electronic device is configured to acquire image data containing a test pattern including first and second patterns intersecting with each other. Pixels corresponding to the test pattern are determined by comparing brightness of each pixel with a threshold value, and widths of an overlapped image of the first and second patterns in an orthogonal direction at a plurality of different positions in the reference direction are calculated. Intersecting positions of the first pattern and the second pattern in the test pattern is detected based on a distribution of widths at the plurality of different positions. The test pattern has a model pattern having a set width in the orthogonal direction separate from the first and second patterns. The pixels corresponding to the test pattern are determined based on a threshold value, and widths at the plurality of positions of the overlapped image in the reference direction are calculated.
    Type: Grant
    Filed: January 19, 2018
    Date of Patent: June 2, 2020
    Assignee: BROTHER KOGYO KABUSHIKI KAISHA
    Inventors: Yusuke Arai, Kenta Horade, Tsuyoshi Ito, Kohei Terada, Tetsuya Saso
  • Patent number: 10634555
    Abstract: Systems and methods are disclosed for protecting a UV-transmissive window. The system includes a first light source for emitting UV energy. The system also includes a UV transmissive window having a planar dimension and a thickness direction perpendicular to the planar dimension, the window positioned so that UV energy from the UV light source passes through the thickness dimension of the window. The system further includes a second light source for introducing a beam of light transverse to the thickness dimension of the window, a detector for detecting light received from the second light source after the light passes through the thickness dimension, and a control system responsive to changes in the detected light received from the second light source and configured to transmit an alert when a change in the detected light exceeds a threshold.
    Type: Grant
    Filed: April 18, 2019
    Date of Patent: April 28, 2020
    Assignee: Xenon Corporation
    Inventors: Stephen R. Wilk, Saad Ahmed
  • Patent number: 10613035
    Abstract: In one embodiment, an optical state monitor includes an a light source detection layer for detecting electromagnetic radiation from an associated good. The optical state monitor uses a processor to evaluate the detected radiation, and make a comparison to a pre-defined optical state profile for the good. By making this comparison, the optical state detector is able to determine a quality for the good. In a particular construction, the optical state detector has an integral light source, and the light detection layer is printed as s thin film device. In this way, a stand-alone self-contained sensor is constructed for determining the good's current quality.
    Type: Grant
    Filed: January 17, 2018
    Date of Patent: April 7, 2020
    Assignee: Chromera, Inc.
    Inventors: Paul Atkinson, John Rilum, Edzer Huitema
  • Patent number: 10615066
    Abstract: There is provided a substrate warping monitoring device for monitoring a warping of a substrate mounted in a substrate mounting region formed in a rotary table along a circumferential direction during rotation of the rotary table, including: an optical displacement meter located above the rotary table and configured to irradiate a light to a predetermined position on the rotary table, receive a reflected light reflected off the rotary table and the substrate which passes through the predetermined position and measure a surface profile of the substrate; a memory part configured to store a measurement value acquired when the light is irradiated on a predetermined reference surface, as a reference value; and a calculation part configured to calculate a warping amount of the substrate based on the surface profile of the substrate measured by the optical displacement meter and the reference value stored in the memory part.
    Type: Grant
    Filed: July 2, 2018
    Date of Patent: April 7, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Toshiya Chiba, Yuya Sasaki, Junnosuke Taguchi
  • Patent number: 10367119
    Abstract: A method of manufacturing a light-emitting device includes: providing a light-emitting element, the light-emitting element comprising a layered semiconductor partially comprising an active layer in a plan view; mounting the light-emitting element on a supporting member; forming a phosphor layer so as to cover the light-emitting element; determining a surplus portion of the phosphor layer; and removing at least a portion of the phosphor layer in a region in the plan view in which the active layer is not disposed.
    Type: Grant
    Filed: December 26, 2017
    Date of Patent: July 30, 2019
    Assignee: NICHIA CORPORATION
    Inventor: Junji Takeichi
  • Patent number: 10365231
    Abstract: A method and system are presented for use in optical measurements on patterned structures. The method comprises performing a number of optical measurements on a structure with a measurement spot configured to provide detection of light reflected from an illuminating spot at least partially covering at least two different regions of the structure. The measurements include detection of light reflected from said at least part of the at least two different regions comprising interference of at least two complex electric fields reflected from said at least part of the at least two different regions, and being therefore indicative of a phase response of the structure, carrying information about properties of the structure.
    Type: Grant
    Filed: January 10, 2018
    Date of Patent: July 30, 2019
    Assignee: NOVA MEASURING INSTRUMENTS LTD.
    Inventors: Gilad Barak, Dror Shafir, Yanir Hainick, Shahar Gov
  • Patent number: 10192793
    Abstract: According to one embodiment, a pattern formation method includes correcting, based on a relationship between a residual film thickness of an imprint pattern and a dimension of an etching pattern that is formed using an imprint pattern as a mask, the residual film thickness of the imprint pattern; and using the imprint pattern with the corrected residual film thickness as a mask to form an etching pattern with the corrected dimension.
    Type: Grant
    Filed: September 8, 2017
    Date of Patent: January 29, 2019
    Assignee: Toshiba Memory Corporation
    Inventor: Yusaku Izawa
  • Patent number: 10115925
    Abstract: The present invention relates to an organic optoelectronic device and a display apparatus comprising same, the organic optoelectronic device comprising: an anode and a cathode facing each other; a light-emitting layer located between the anode and cathode; a hole transport layer located between the anode and light-emitting layer; an auxiliary hole transport layer located between the hole transport layer and light-emitting layer; an electron transport layer located between the cathode and light-emitting layer; and an auxiliary electron transport layer between the electron transport layer and light-emitting layer, wherein the auxiliary electron transport layer comprises at least one type of a first compound expressed by a particular Chemical Formula, and the auxiliary hole transport layer comprises at least one type of a second compound expressed by a particular Chemical Formula.
    Type: Grant
    Filed: July 21, 2015
    Date of Patent: October 30, 2018
    Assignee: Samsung SDI Co., Ltd.
    Inventors: Jae-Han Park, Young-Kwon Kim, Jin-Hyun Lui, Eun-Sun Yu, Han-Ill Lee, Ho-Kuk Jung
  • Patent number: 10068903
    Abstract: Methods and apparatus for artificial exciton devices. An artificial exciton device includes a semiconductor substrate; at least one well region doped to a first conductivity type in a portion of the semiconductor substrate; a channel region in a central portion of the well region; a cathode region in the well region doped to a second conductivity type; an anode region in the well region doped to the first conductivity type; a first lightly doped drain region disposed between the cathode region and the channel region doped to the first conductivity type; a second lightly doped drain region disposed between the anode region and the channel region doped to the second conductivity type; and a gate structure overlying the channel region, the gate structure comprising a gate dielectric layer lying over the channel region and a gate conductor material overlying the gate dielectric. Methods are disclosed.
    Type: Grant
    Filed: May 15, 2015
    Date of Patent: September 4, 2018
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Henry Litzmann Edwards, Greg Charles Baldwin
  • Patent number: 10061211
    Abstract: A method for layoutless overlay control is provided. In some embodiments, a target layer covering a workpiece is patterned using a reticle. The patterning forms a plurality of exposure fields arranged according to a first exposure field layout. Alignment of the exposure fields relative to the workpiece is measured to generate displacement vectors. An inter-field model and an intra-field model are trained using the displacement vectors and a reference field layout. The intra-field model is transformed for use with a second exposure field layout, where the second exposure field layout is different than the first exposure field layout. Overlay corrections are generated based on the trained inter-field model and the transformed intra-field model.
    Type: Grant
    Filed: January 24, 2017
    Date of Patent: August 28, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yung-Yao Lee, Yi-Ping Hsieh
  • Patent number: 9972704
    Abstract: A method for forming a semiconductor device comprises implanting a defined dose of protons into a semiconductor substrate and tempering the semiconductor substrate according to a defined temperature profile. At least one of the defined dose of protons and the defined temperature profile is selected depending on a carbon-related parameter indicating information on a carbon concentration within at least a part of the semiconductor substrate.
    Type: Grant
    Filed: November 9, 2015
    Date of Patent: May 15, 2018
    Assignee: Infineon Technologies AG
    Inventors: Moriz Jelinek, Johannes Georg Laven, Helmut Oefner, Hans-Joachim Schulze, Werner Schustereder
  • Patent number: 9964497
    Abstract: There is provided an inspection apparatus which inspects a substrate supporting portion configured to support a substrate during an exposure performed by an exposure apparatus. The apparatus includes: a irradiation unit configured to irradiate, with an illumination light beam, a surface of the substrate on which a pattern has been formed by an exposure by the exposure device; a detecting unit configured to detect reflected light from a pattern in the irradiated surface; a focusing state computation unit connected to the detection unit and configured to determine a focusing state of the pattern of the substrate, based on a detection result of the reflected light beam detected by the detection unit; and an inspection unit connected to the focusing state computation unit and configured to inspect the substrate supporting portion based on the focusing state determined by the focusing state computation unit.
    Type: Grant
    Filed: October 30, 2014
    Date of Patent: May 8, 2018
    Assignee: NIKON CORPORATION
    Inventor: Kazuhiko Fukazawa
  • Patent number: 9939742
    Abstract: A lithographic apparatus includes a sensor, such as an alignment sensor including a self-referencing interferometer, configured to determine the position of an alignment target including a periodic structure. An illumination optical system focuses radiation of different colors and polarizations into a spot which scans the structure. Multiple position-dependent signals are detected and processed to obtain multiple candidate position measurements. Asymmetry of the structure is calculated by comparing the multiple position-dependent signals. The asymmetry measurement is used to improve accuracy of the position read by the sensor. Additional information on asymmetry may be obtained by an asymmetry sensor receiving a share of positive and negative orders of radiation diffracted by the periodic structure to produce a measurement of asymmetry in the periodic structure.
    Type: Grant
    Filed: November 4, 2013
    Date of Patent: April 10, 2018
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Patricius Aloysius Jacobus Tinnemans, Arie Jeffrey Den Boef, Simon Gijsbert Josephus Mathijssen
  • Patent number: 9897553
    Abstract: A method and system are presented for use in optical measurements on patterned structures. The method comprises performing a number of optical measurements on a structure with a measurement spot configured to provide detection of light reflected from an illuminating spot at least partially covering at least two different regions of the structure. The measurements include detection of light reflected from said at least part of the at least two different regions comprising interference of at least two complex electric fields reflected from said at least part of the at least two different regions, and being therefore indicative of a phase response of the structure, carrying information about properties of the structure.
    Type: Grant
    Filed: February 20, 2014
    Date of Patent: February 20, 2018
    Assignee: NOVA MEASURING INSTRUMENTS LTD.
    Inventors: Gilad Barak, Dror Shafir, Yanir Hainick, Shahar Gov
  • Patent number: 9870961
    Abstract: Disclosed herein is a wafer processing method including a processed position measuring step of imaging an area including a beam plasma generated by applying a pulsed laser beam to a wafer, by using an imaging unit during the formation of a laser processed groove on the wafer, and next measuring the positional relation between the position of the beam plasma and a preset processing position. Accordingly, it is possible to check whether or not the laser processed groove is formed at a desired position, in real time during laser processing. If the position of the laser processed groove is deviated, the processed position can be immediately corrected.
    Type: Grant
    Filed: December 22, 2016
    Date of Patent: January 16, 2018
    Assignee: DISCO CORPORATION
    Inventors: Taku Iwamoto, Hironari Ohkubo, Junichi Kuki, Kentaro Odanaka
  • Patent number: 9862072
    Abstract: The invention provides a double-side polishing method including first polishing at a high polishing rate, second polishing at a low polishing rate, dividing a straight line extending between the outermost circumferences of the wafer through the center into prescribed sections, and optically measuring a cross-sectional shape of the sections; applying a weight predetermined for each section to the cross-sectional shape to quantify flatness of each section; and determining polishing conditions of the first and second polishing in subsequent polishing on a basis of the quantified flatness, wherein a beam diameter of a measurement apparatus used to measure the cross-sectional shape of outermost sections is smaller than that used to measure the cross-sectional shape of the other section. The method can measure the shape of the wafer up to its outermost circumference with high precision without reducing productivity, and improve the flatness of the entire wafer including its outermost circumference.
    Type: Grant
    Filed: October 21, 2013
    Date of Patent: January 9, 2018
    Assignee: SHIN-ETSU HANDOTAI CO., LTD.
    Inventor: Kazumasa Asai
  • Patent number: 9853137
    Abstract: A method for forming a semiconductor device comprises implanting a defined dose of protons into a semiconductor substrate and tempering the semiconductor substrate according to a defined temperature profile. At least one of the defined dose of protons and the defined temperature profile is selected depending on a carbon-related parameter indicating information on a carbon concentration within at least a part of the semiconductor substrate.
    Type: Grant
    Filed: November 9, 2015
    Date of Patent: December 26, 2017
    Assignee: Infineon Technologies AG
    Inventors: Moriz Jelinek, Johannes Georg Laven, Helmut Oefner, Hans-Joachim Schulze, Werner Schustereder
  • Patent number: 9728627
    Abstract: A method for forming a semiconductor device comprises implanting a defined dose of protons into a semiconductor substrate and tempering the semiconductor substrate according to a defined temperature profile. At least one of the defined dose of protons and the defined temperature profile is selected depending on a carbon-related parameter indicating information on a carbon concentration within at least a part of the semiconductor substrate.
    Type: Grant
    Filed: November 9, 2015
    Date of Patent: August 8, 2017
    Assignee: Infineon Technologies AG
    Inventors: Moriz Jelinek, Johannes Georg Laven, Helmut Oefner, Hans-Joachim Schulze, Werner Schustereder
  • Patent number: 9564322
    Abstract: A method of excimer laser annealing includes generating a focused long line beam with a laser beam output from at least one laser source; and scanning the long line beam in a direction perpendicular to a long axis of the long line beam along a surface of an amorphous semiconductor film on a substrate. The long line beam has a normalized beam angular divergence half-width ?=arctan(tan ?y/sin ?) that is less than a critical value ?c, where ?y represents a beam angular divergence half-width measured along the long axis of the long line beam on the surface of the amorphous semiconductor film, ? represents a mean incidence angle of the long line beam on the surface of the amorphous semiconductor film, and ?c is approximately 30°.
    Type: Grant
    Filed: May 24, 2016
    Date of Patent: February 7, 2017
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Gyoo Wan Han, Vladimir Tokarev, Je Kil Ryu
  • Patent number: 9341962
    Abstract: In a method for patterning a workpiece provided with dies in a direct write machine, pattern data associated with a selected die, or group of dies, is transformed into adjusted circuit pattern data dependent both on the original pattern data and the transformed positions, wherein the adjusted circuit pattern data represents the circuit pattern of the plurality of dies, or group of dies, such that the adjusted circuit pattern is fitted to a plurality of sub-areas of the workpiece area, and wherein each sub-area is associated with a die, or group of dies, among the plurality of dies distributed on the workpiece. A pattern is then written on the workpiece according to the adjusted circuit pattern data.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: May 17, 2016
    Assignee: MYCRONIC AB
    Inventors: Mikael Wahlsten, Per-Erik Gustafsson
  • Patent number: 9323103
    Abstract: The liquid crystal display device (100) of the present invention includes a liquid crystal panel (10) having a plurality of pixels (P), and a backlight (20) having at least one light source (22) that emits light to the liquid crystal panel (10). Each of the plurality of pixels (P) includes four or more sub-pixels (R, G, B, Ye), and the light source unit (22) includes a red light source (22R), a green light source (22G), and a blue light source (22B). According to the present invention, a liquid crystal display device which can perform display of wide color reproduction range with low power consumption is provided.
    Type: Grant
    Filed: March 3, 2011
    Date of Patent: April 26, 2016
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Tomohiko Mori, Kazunari Tomizawa, Makoto Hasegawa, Yuichi Yoshida
  • Patent number: 9318722
    Abstract: A method of efficiently manufacturing an organic light-emitting element with excellent light-emitting characteristics is provided. The method includes: preparing ink and filling an inkjet device having an ink ejection nozzle with the ink; preparing a substrate having a base layer including a first electrode; positioning the inkjet device above the substrate; and causing the inkjet device to eject a drop of the ink onto the base layer. In the preparation of the ink, a value Z denoting a reciprocal of the Ohnesorge number Oh determined by density ? (g/dm3), surface tension ? (mN/m), and viscosity ? (mPa·s) of the ink and a diameter r (mm) of the ink ejection nozzle satisfies Formula 1, in the ejection of the drop of the ink, speed V (m/s) of the ejected drop satisfies Formula 2, and the value Z and the speed V (m/s) satisfy Formula 3.
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: April 19, 2016
    Assignee: JOLED INC.
    Inventors: Hirotaka Nanno, Shinichiro Ishino, Tomoki Masuda, Yuko Kawanami, Noriyuki Matsusue
  • Patent number: 9276166
    Abstract: A method for forming a light-emitting device of the present application comprises providing a wafer; forming a first plurality of light-emitting elements on the wafer; providing a first connection structure to connect each of the first plurality of light-emitting elements; and applying a current flow to one of the first plurality of light-emitting elements for testing at least one electrical property of the light-emitting element while no current flow is applied to the remaining of the first plurality of light-emitting elements.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: March 1, 2016
    Assignee: EPISTAR CORPORATION
    Inventors: Chia-Liang Hsu, Chih-Chiang Lu
  • Patent number: 9246067
    Abstract: A semiconductor light emitting device which produces mixed light of a desired emission color by a combination of a semiconductor light emitting element and a wavelength converting layer containing a fluorescent substance, and a vehicle lamp including the semiconductor light emitting device. The wavelength converting layer has different wavelength conversion characteristics respectively at its portion covering an area of relatively high current density at light emission operation of the semiconductor light emitting element and at its portion covering an area of relatively low current density so as to reduce chromaticity difference over the light extraction surface of the mixed light due to non-uniformity of current density in the light emitting layer at light emission operation.
    Type: Grant
    Filed: October 17, 2012
    Date of Patent: January 26, 2016
    Assignee: STANLEY ELECTRIC CO., LTD.
    Inventor: Yusuke Yokobayashi
  • Patent number: 9200950
    Abstract: Monitoring of a pulsed plasma is described using an optical sensor. In one example, the invention includes receiving light emitted by a pulsed plasma in a semiconductor plasma processing chamber, sampling the received light at a sampling rate higher than a pulse rate of the pulsed plasma, wherein the sampled light has a periodic amplitude waveform and the sampling rate is higher than the period of the amplitude waveform, accumulating multiple sampled waveforms to form a mean waveform, and transmitting characteristics of the mean waveform to a chamber control tool.
    Type: Grant
    Filed: February 25, 2014
    Date of Patent: December 1, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Lei Lian, Quentin Walker, Dermot Cantwell
  • Patent number: 9153502
    Abstract: A semiconductor chip testing method includes: (a) testing the electrical characteristics of each of semiconductor chips in the form of wafers or in the form of chips formed on a predetermined number of semiconductor wafers having certain relationship, and determining if the semiconductor chip is non-defective or defective; (b) calculating a percentage of semiconductor chips determined to be defective as a fraction defective for each of wafer addresses based on determination results about the semiconductor chips on the predetermined number of semiconductor wafers, the wafer addresses indicating the respective positions of the semiconductor chips on the semiconductor wafers; and (c) changing a determination result about a semiconductor chip determined to be non-defective to defective, the semiconductor chip being at a wafer address determined to have a fraction defective at a threshold or higher than the threshold.
    Type: Grant
    Filed: June 12, 2012
    Date of Patent: October 6, 2015
    Assignee: Mitsubishi Electric Corporation
    Inventors: Takuya Hamaguchi, Tetsujiro Tsunoda, Shoko Kanazawa
  • Patent number: 9091667
    Abstract: A method of the detection of particle contamination on a semiconductor wafer is provides which includes examining an area of the semiconductor wafer by a metrology system comprising a scatterometry or ellipsometry/reflectometry tool to obtain measured metrology data, comparing the measured metrology data with reference metrology data and determining the presence of particle contamination in the examined area of the semiconductor wafer based on the comparison of the measured metrology data with the reference metrology data.
    Type: Grant
    Filed: October 25, 2013
    Date of Patent: July 28, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Adam Michal Urbanowicz, Carsten Hartig, Daniel Fischer
  • Patent number: 9082661
    Abstract: A method includes performing a semiconductor fabrication process on a plurality of substrates. The plurality of substrates are divided into a first subset and a second subset. A rework process is performed on the second subset of the plurality of substrates but not on the first subset. A respective mean value of at least one exposure parameter for a lithography process is computed for each respective one of the first and second subsets of the plurality of substrates. A scanner overlay correction and a mean correction are applied to expose a second plurality of substrates on which the rework process has been performed. The mean correction is based on the computed mean values.
    Type: Grant
    Filed: October 15, 2014
    Date of Patent: July 14, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yen-Di Tsen, Shin-Rung Lu, Jong-I Mou
  • Patent number: 9070591
    Abstract: Among other things, a system and method for adjusting the intensity of a laser beam applied to a semiconductor device are provided for herein. A sensor is configured to measure the intensity of a laser beam reflected from the semiconductor device. Based upon the reflection intensity, an intensity of the laser beam that is applied to the semiconductor device is adjusted, such as to alter an annealing operation performed on the semiconductor device, for example.
    Type: Grant
    Filed: December 24, 2012
    Date of Patent: June 30, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Lee-Te Tseng, Chih-Hsien Ou, Kun-Hsiang Lin, Yi-Hann Chen, Ming-Te Chen