Subsequent Heating Modifying Organic Coating Composition Patents (Class 438/781)
  • Patent number: 7611983
    Abstract: A first BPSG film covering a transistor is formed. Next, a second BPSG film is formed on the first BPSG film. The B concentration in the first BPSG film is about five times higher than the B concentration in the second BPSG film. Next, the first BPSG film is separated into a part of a source diffusion layer side and a part of a drain diffusion layer side, with a gate electrode being a boundary. Subsequently, a contact hole reaching the source diffusion layer is formed in the first and second BPSG films. Then, by removing the first BPSG film exposed to the contact hole by isotropic etching, a hollow portion is formed between the source diffusion layer and the second BPSG film. Then, a barrier metal film made of TiN or the like is formed in the hollow portion.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: November 3, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Akihito Nishimura
  • Patent number: 7598166
    Abstract: A semiconductor structure and methods for forming the same. The structure includes (a) a substrate; (b) a first device and a second device each being on the substrate; (c) a device cap dielectric layer on the first and second devices and the substrate, wherein the device cap dielectric layer comprises a device cap dielectric material; (d) a first dielectric layer on top of the device cap dielectric layer, wherein the first dielectric layer comprises a first dielectric material; (e) a second dielectric layer on top of the first dielectric layer; and (f) a first electrically conductive line and a second electrically conductive line each residing in the first and second dielectric layers. The first dielectric layer physically separates the first and second electrically conductive lines from the device cap dielectric layer. A dielectric constant of the first dielectric material is less than that of the device cap dielectric material.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: October 6, 2009
    Assignee: International Business Machines Corporation
    Inventors: Zhong-Xiang He, Ning Lu, Anthony Kendall Stamper
  • Patent number: 7598173
    Abstract: An electro-optic display comprises a substrate (100), non-linear devices (102) disposed substantially in one plane on the substrate (100), pixel electrodes (106) connected to the non-linear devices (102), an electro-optic medium (110) and a common electrode (112) on the opposed side of the electro-optic medium (110) from the pixel electrodes (106). The moduli of the various parts of the display are arranged so that, when the display is curved, the neutral axis or neutral plane lies substantially in the plane of the non-linear devices (102).
    Type: Grant
    Filed: November 16, 2006
    Date of Patent: October 6, 2009
    Assignee: E Ink Corporation
    Inventors: Andrew P. Ritenour, Gregg M. Duthaler
  • Patent number: 7589026
    Abstract: A method for fabricating a fine pattern in a semiconductor device includes forming a first polymer layer and a second polymer layer over an etch target layer. The second polymer layer is patterned at a first substrate temperature. The first polymer layer is etched at a second substrate temperature using an etch gas that does not include oxygen (O2). The first polymer layer is etched using the patterned second polymer layer as an etch mask. The etch target layer is then etched using the etched first polymer layer and the etched second polymer layer as an etch mask.
    Type: Grant
    Filed: May 3, 2007
    Date of Patent: September 15, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung-Kwon Lee, Jae-Young Lee
  • Publication number: 20090227119
    Abstract: A method of curing a low dielectric constant (low-k) dielectric film on a substrate is described, wherein the dielectric constant of the low-k dielectric film is less than a value of approximately 4. The method comprises exposing the low-k dielectric film to infrared (IR) radiation and ultraviolet (UV) radiation.
    Type: Application
    Filed: March 6, 2008
    Publication date: September 10, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Junjun Liu, Dorel I. Toma, Eric M. Lee
  • Patent number: 7585785
    Abstract: A method of forming an air gap within a semiconductor structure by the steps of: (a) using a sacrificial polymer to occupy a space in a semiconductor structure; and (b) heating the semiconductor structure to decompose the sacrificial polymer leaving an air gap within the semiconductor structure, wherein the sacrificial polymer of step (a) is a copolymer of bis[3-(4-benzocyclobutenyl)]1,n (n=2-12) alkyldiol diacrylate (such as bis[3-(4-benzocyclobutenyl)]1,6 hexanediol diacrylate) and 1,3 bis 2[4-benzocyclobutenyl(ethenyl)]benzene. In addition, a semiconductor structure, having a sacrificial polymer positioned between conductor lines, wherein the sacrificial polymer is a copolymer of bis[3-(4-benzocyclobutenyl)]1,n (n=2-12)alkyldiol diacrylate and 1,3 bis 2[4-benzocyclobutenyl(ethenyl)]benzene.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: September 8, 2009
    Assignee: Dow Global Technologies
    Inventors: Robert A. Kirchhoff, Jason Q. Niu, Yongfu Li, Kenneth L. Foster
  • Patent number: 7582572
    Abstract: A method of manufacturing an insulating film includes coating a first liquid material in which polysilazane is dissolved on a substrate; decreasing dangling bonds of silicon (Si) in the first liquid material; after decreasing the dangling bonds, coating a second liquid material which is similar to the first liquid material on the first liquid material; and converting the first liquid material and the second liquid material into a silicon (Si) insulating film.
    Type: Grant
    Filed: December 14, 2006
    Date of Patent: September 1, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kazuaki Iwasawa
  • Publication number: 20090212421
    Abstract: Polymer interlayer dielectric and passivation materials for a microelectronic device are generally described. In one example, an apparatus includes one or more interconnect structures of a microelectronic device and one or more polymeric dielectric layers coupled with the one or more interconnect structures, the polymeric dielectric layers including copolymer backbones having a first monomeric unit and a second monomeric unit wherein the first monomeric unit has a different chemical structure than the second monomeric unit and wherein the copolymer backbones are cross-linked by a first cross-linker or a second cross-linker, or combinations thereof.
    Type: Application
    Filed: February 26, 2008
    Publication date: August 27, 2009
    Inventors: Kunal Shah, Michael Haverty, Sadasivan Shankar, Doug Ingerly, Grant Kloster
  • Patent number: 7576000
    Abstract: A method forms a first active electronic layer, prints an array of pillars on the first active electronic layer, dispenses a curable polymer over the array of pillars, molds the curable polymer by contacting the curable polymer with a mold structure to displace the curable polymer from upper surfaces of the pillars, cures the curable polymer to produce a hardened polymer, and removes the array of pillars to leave an array of holes in the hardened polymer. Another method provides a substrate having selected areas, prints an array of pillars on the substrate, dispenses a curable polymer over the array of pillars, molds the curable polymer by contacting the array of pillars with a mold structure to displace the curable polymer from upper surfaces of the pillars, cures the curable polymer to produce a hardened polymer, and removes the array of pillars to leave an array of holes in the hardened polymer corresponding to the selected areas.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: August 18, 2009
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Jurgen H. Daniel, Ana C. Arias
  • Patent number: 7576015
    Abstract: A method for manufacturing an alignment layer is provided, which includes the following steps. First, a substrate is provided. Next, an auxiliary layer is formed on the substrate. Then, an alignment solution is sprayed on the auxiliary layer through an inkjet printing process. The alignment solution includes an alignment material and a first solvent, and the auxiliary layer has the same polarity as the first solvent. Then, by performing a curing process, the alignment solution is cured to form an alignment layer. As mentioned above, the method for manufacturing an alignment layer may be applied to manufacture an alignment layer with preferred smoothness.
    Type: Grant
    Filed: September 20, 2006
    Date of Patent: August 18, 2009
    Assignee: AU Optronics Corp.
    Inventors: Yuan-Hung Tung, Chih-Jui Pan
  • Publication number: 20090200646
    Abstract: Methods for fabricating sublithographic, nanoscale microstructures in one-dimensional arrays utilizing self-assembling block copolymers, and films and devices formed from these methods are provided.
    Type: Application
    Filed: February 13, 2008
    Publication date: August 13, 2009
    Inventors: Dan B. Millward, Karl Stuen
  • Patent number: 7569499
    Abstract: The invention provides a method of fabricating a semiconductor device. In one aspect, the method comprises forming a stress inducing layer over a semiconductor substrate, subjecting the stress inducing layer to a first temperature anneal, and subjecting the semiconductor substrate to a second temperature anneal subsequent to the first temperature anneal, wherein the second temperature anneal is higher than the first temperature anneal.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: August 4, 2009
    Assignee: Texas Instruments Incorporated
    Inventor: Periannan Chidambaram
  • Patent number: 7569497
    Abstract: In a method for forming an insulating film, a film containing an organic curable material and provided on a substrate for an electronic device is irradiated with an energy plasma produced by a microwave irradiation through a planar antenna member having a plurality of slits to thereby cure the film containing the organic curable material and form the insulating film having a dielectric constant of 3 or less.
    Type: Grant
    Filed: January 25, 2005
    Date of Patent: August 4, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Toshiaki Hongoh, Satohiko Hoshino
  • Patent number: 7569469
    Abstract: The present invention relates to dielectric nanostructures useful in semiconductor devices and other electronic devices and methods for manufacturing the dielectric nanostructures. The nanostructures generally comprises an array of isolated pillars positioned on a substrate. The methods of the present invention involve using semiconductor technology to manufacture the nanostructures from a mixture of a crosslinkable dielectric material and an amphiphilic block copolymer.
    Type: Grant
    Filed: August 3, 2006
    Date of Patent: August 4, 2009
    Assignee: International Business Machines Corporation
    Inventors: Ho-Cheol Kim, Robert D. Miller
  • Publication number: 20090181178
    Abstract: A low-k dielectric material with increased cohesive strength for use in electronic structures including interconnect and sensing structures is provided that includes atoms of Si, C, O, and H in which a fraction of the C atoms are bonded as Si—CH3 functional groups, and another fraction of the C atoms are bonded as Si—R—Si, wherein R is phenyl, —[CH2]n— where n is greater than or equal to 1, HC?CH, C?CH2, C?C or a [S]n linkage, where n is a defined above.
    Type: Application
    Filed: January 16, 2009
    Publication date: July 16, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Daniel C. Edelstein, Stephen M. Gates, Alfred Grill, Michael Lane, Qinghuang Lin, Robert D. Miller, Deborah A. Neumayer, Son Van Nguyen
  • Patent number: 7557023
    Abstract: A semiconductor fabrication method. The method includes providing a semiconductor structure which includes (i) a semiconductor layer, (ii) a gate dielectric layer on the semiconductor layer, and (iii) a gate electrode region on the gate dielectric layer. The gate dielectric layer is sandwiched between and electrically insulates the semiconductor layer and the gate electrode region. The semiconductor layer and the gate dielectric layer share a common interfacing surface which defines a reference direction perpendicular to the common interfacing surface and pointing from the semiconductor layer to the gate dielectric layer. Next, a resist layer is formed on the gate dielectric layer and the gate electrode region. Next, a cap portion of the resist layer directly above the gate electrode region in the reference direction is removed without removing any portion of the resist layer not directly above the gate electrode region in the reference direction.
    Type: Grant
    Filed: September 15, 2006
    Date of Patent: July 7, 2009
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, Charles W. Koburger, III
  • Publication number: 20090170343
    Abstract: This invention relates to a method of treating a semiconductor wafer and in particular, but not exclusively, to planarisation. The method consists of depositing a liquid short-chain polymer formed from a silicon containing bas or vapour. Subsequently water and OH are removed and the layer is stabilised.
    Type: Application
    Filed: March 12, 2009
    Publication date: July 2, 2009
    Applicant: AVIZA TECHNOLOGY LIMITED
    Inventors: Knut Beekman, Guy Patric Tucker
  • Publication number: 20090163038
    Abstract: Disclosed is a heat treatment unit 4 serving as a heat treatment apparatus, which includes a chamber 42 for containing a wafer W on which a low dielectric constant interlayer insulating film is formed, a formic acid supply device 44 for supplying gaseous formic acid into the chamber 42, and a heater 43 for heating the wafer W in the chamber 42 which is supplied with formic acid by the formic acid supply device 44.
    Type: Application
    Filed: May 28, 2007
    Publication date: June 25, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hidenori Miyoshi
  • Publication number: 20090163039
    Abstract: A method for fabricating a semiconductor device utilizing the step of forming a first insulating film of a porous material over a substrate; the step of forming on the first insulating film a second insulating film containing a silicon compound containing Si—CH3 bonds by 30-90%, and the step of irradiating UV radiation with the second insulating film formed on the first insulating film to cure the first insulating film. Thus, UV radiation having the wavelength which eliminates CH3 groups is sufficiently absorbed by the second insulating film, whereby the first insulating film is highly strengthened with priority by the UV cure, and the first insulating film can have the film density increased without having the dielectric constant increased.
    Type: Application
    Filed: December 8, 2008
    Publication date: June 25, 2009
    Applicant: FUJITSU LIMITED
    Inventors: Shirou Ozaki, Yoshihiro Nakata, Ei Yano
  • Patent number: 7547645
    Abstract: A method for coating a structure that includes at least one semiconductor chip involves electrostatically depositing coating particles on the areas of the structure to be coated. The coating particles are first applied to a carrier and the latter is electrostatically charged with the coating particles. The structure including at least one semiconductor chip is charged electrostatically to a polarity opposite to the carrier. The carrier and/or the structure are then moved towards one another in the direction of an area of the structure to be coated until the coating particles jump to the areas of the structure to be coated and adhere there. The coating particles are liquefied by heating the area with coating particles to form a coating.
    Type: Grant
    Filed: August 16, 2006
    Date of Patent: June 16, 2009
    Assignee: Infineon Technologies AG
    Inventors: Michael Bauer, Ludwig Heitzer, Jens Pohl, Peter Strobel, Christian Stuempfl
  • Patent number: 7544069
    Abstract: A method for fabricating a thin film pattern and a method for fabricating a flat panel display device using the same to form an organic material pattern by not using a photo process are disclosed. The method for fabricating the thin film pattern includes forming a first conductive thin film pattern on a substrate; forming a master mold provided with a second thin film pattern; coating an organic material on the master mold provided with the second thin film pattern; joining the substrate and the master mold to contact the first thin film pattern and a surface of the substrate with the organic material; hardening the organic material; separating the substrate and the master mold from each other to provide an organic thin film pattern having step coverage formed by the second thin film pattern on a substrate provided with the first thin film pattern.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: June 9, 2009
    Assignee: LG Display Co., Ltd.
    Inventor: Jin Wuk Kim
  • Publication number: 20090130862
    Abstract: A multi-functional cyclic silicate compound, a siloxane-based polymer prepared from the silicate compound and a process of producing an insulating film using the siloxane-based polymer. The silicate compound of the present invention is highly compatible with conventional pore-generating substances and hardly hygroscopic, so it is useful for the preparation of a siloxane-based polymer suitable to a SOG process. Furthermore, a film produced by the use of such siloxane-based polymer is excellent in mechanical properties, thermal stability and crack resistance and enhanced in insulating properties by virtue of its low hygroscopicity. Therefore, in the field of semiconductor production, this film is of great use as an insulating film.
    Type: Application
    Filed: January 5, 2009
    Publication date: May 21, 2009
    Inventors: Hyeon Jin Shin, Hyuna Dam Jeong, Jong Back Seon, Kwang Hee Lee, Sang Kook Mah
  • Patent number: 7534717
    Abstract: The formation of an interlayer insulating film above a substrate, the formation of an insulating film of an organic material on the interlayer insulating film thereafter, and the irradiation of the insulating film of an organic material and the interlayer insulating film with electron beams, thereby curing at least the insulating film of an organic material, are proposed.
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: May 19, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideshi Miyajima, Keiji Fujita, Hideaki Masuda, Rempei Nakata, Miyoko Shimada
  • Patent number: 7531465
    Abstract: Provided is a method of manufacturing a nitride-based semiconductor light-emitting device having an improved structure in which optical extraction efficiency is improved. The method of manufacturing a nitride-based semiconductor light-emitting device including an n-doped semiconductor layer, an active layer, a p-doped semiconductor layer, an n-electrode and a p-electrode includes: forming an azobenzene-functionalized polymer film on a base layer by selecting one layer from the group consisting of the n-doped semiconductor layer, the p-doped semiconductor layer, the n-electrode and the p-electrode as the base layer; forming surface relief gratings of a micro-pattern caused by a photophysical mass transport property of azobenzene-functionalized polymer by irradiating interference laser beams onto the azobenzene-functionalized polymer film; forming a photonic crystal layer using a metal oxide on a recessed gap of the azobenzene-functionalized polymer film, and removing the azobenzene-functionalized polymer film.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: May 12, 2009
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Jae-hee Cho, Cheol-soo Sone, Dong-yu Kim, Hyun-gi Hong, Seok-soon Kim
  • Patent number: 7527991
    Abstract: In a light emitting apparatus comprising a light emitting device, a fluorescent substance capable of absorbing at least a portion of light emitted by the light emitting device and emitting light having a different wavelength, and a color converting member which contains the fluorescent substance and directly coat the light emitting device, the color converting member contains at least an epoxy resin derived from triazine and a mixing ratio of the epoxy resin derived from triazine to the acid anhydride curing agent in the color converting member is from 100:80 to 100:240.
    Type: Grant
    Filed: May 20, 2005
    Date of Patent: May 5, 2009
    Assignee: Nichia Corporation
    Inventors: Masanobu Sato, Tomoya Tsukioka, Masafumi Kuramoto
  • Patent number: 7521378
    Abstract: Semiconductor devices, structures and systems that utilize a polysilazane-based silicon oxide layer or fill, and methods of making the oxide layer are disclosed. In one embodiment, a polysilazane solution is deposited on a substrate and processed with ozone in a wet oxidation at low temperature to chemically modify the polysilazane material to a silicon oxide layer.
    Type: Grant
    Filed: July 1, 2004
    Date of Patent: April 21, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Janos Fucsko, John A Smythe, III, Li Li, Grady S Waldo
  • Publication number: 20090098739
    Abstract: An object of the present invention is to provide a method for manufacturing an SOI substrate provided with a semiconductor layer which can be used practically even where a substrate having a low upper temperature limit such as a glass substrate is used. The manufacturing method compromises the steps of preparing a semiconductor substrate provided with a bonding layer formed on a surface thereof and a separation layer formed at a predetermined depth from the surface thereof, bonding the bonding layer to the base substrate having a distortion point of 700° C. or lower so that the semiconductor substrate and the base substrate face each other, and separating a part of the semiconductor substrate at the separation layer by heat treatment in order to form a single-crystal semiconductor layer over the base substrate. In the manufacturing method, a substrate which shrinks isotropically at least by the heat treatment is used as the base substrate.
    Type: Application
    Filed: October 2, 2008
    Publication date: April 16, 2009
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Hideto OHNUMA, Takashi SHINGU, Tetsuya KAKEHATA, Kazutaka KURIKI, Shunpei YAMAZAKI
  • Patent number: 7517817
    Abstract: A method is provided for forming silicon oxide layers during the processing of semiconductor devices by applying a SOG layer including polysilazane to a substrate and then substantially converting the SOG layer to a silicon oxide layer using an oxidant solution. The oxidant solution may include one or more oxidants including, for example, ozone, peroxides, permanganates, hypochlorites, chlorites, chlorates, perchlorates, hypobromites, bromites, bromates, hypoiodites, iodites, iodates and strong acids.
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: April 14, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-Seon Goo, Eun-Kee Hong, Hong-Gun Kim, Kyu-Tae Na
  • Patent number: 7517808
    Abstract: A method for reworking semiconductor materials includes: (i) applying a silicone composition to a surface of a substrate to form a film, (ii) exposing a portion of the film to radiation to produce a partially exposed film having non-exposed regions covering a portion of the surface and exposed regions covering the remainder of the surface; (iii) heating the partially exposed film for an amount of time such that the exposed regions are substantially insoluble in a developing solvent and the non-exposed regions are soluble in the developing solvent; (iv) removing the non-exposed regions of the heated film with the developing solvent to form a patterned film; (v) heating the patterned film for an amount of time sufficient to form a cured silicone layer; and (vi) removing all or a portion of the cured silicone layer by exposure to an anhydrous etching solution including an organic solvent and abase.
    Type: Grant
    Filed: July 28, 2003
    Date of Patent: April 14, 2009
    Assignee: Dow Corning Corporation
    Inventors: Gregory Becker, Geoffrey Gardner, Brian Harkness
  • Publication number: 20090093133
    Abstract: A semiconductor structure is provided that includes a spacer directly abutting a topographic edge of at least one patterned material layer. The spacer is a non-removable polymeric block component of a self-assembled block copolymer. A method of forming such a semiconductor structure including the inventive spacer is also provided that utilizes self-assembled block copolymer technology.
    Type: Application
    Filed: October 9, 2007
    Publication date: April 9, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Bruce B. Doris, Carl J. Radens
  • Patent number: 7514709
    Abstract: A low dielectric constant polymer, comprising monomeric units derived from a compound having the general formula I (R1—R2)n—Si—(X1)4-n, wherein each X1 is independently selected from hydrogen and inorganic leaving groups, R2 is an optional group and comprises an alkylene having 1 to 6 carbon atoms or an arylene, R1 is a polycycloalkyl group and n is an integer 1 to 3. The polymer has excellent electrical and mechanical properties.
    Type: Grant
    Filed: April 13, 2004
    Date of Patent: April 7, 2009
    Assignee: Silecs Oy
    Inventors: Juha T. Rantala, Jyri Paulasaari, Janne Kylmä
  • Patent number: 7514338
    Abstract: A method of manufacturing a semiconductor device, includes preparing a work piece having a trench on its main surface side, forming a polymer film containing a polymer containing silicon, hydrogen and nitrogen on the main surface of the work piece, holding the work piece with the polymer film in a first atmosphere, which contains oxygen, and whose oxygen partial pressure is set in a range of 16 to 48 Torr, oxidizing the polymer film in a second atmosphere containing water vapor to form an oxide film containing a silicon oxide as a main component, after holding the work piece in the first atmosphere, and removing an upper portion of the oxide film to remain a lower portion of the oxide film in the trench.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: April 7, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Osamu Arisumi, Masahiro Kiyotoshi
  • Patent number: 7510959
    Abstract: A method of manufacturing a semiconductor device having damascene structures with air gaps is provided. In one embodiment, the method comprises the steps of depositing and patterning a disposable layer, depositing a first barrier layer on top of the patterned disposable layer, depositing a metal layer, planarizing the metal layer, depositing a second barrier layer, planarizing the second barrier layer until substantially no barrier layer material is present on top of the disposable layer, depositing a permeable layer, removing the disposable layer through the permeable layer to form air gaps.
    Type: Grant
    Filed: March 16, 2005
    Date of Patent: March 31, 2009
    Assignees: Interuniversitair Microelektronica Centrum (IMEC), Koninklikje Phillips Electronics
    Inventors: Roel Daamen, Viet Nguyen Hoang
  • Patent number: 7501353
    Abstract: Disclosed is a method for the formation of features in a damascene process. According to the method, vias are formed in a dielectric layer and then covered by a layer of high molecular weight polymer. The high molecular weight polymer covers the vias but does not enter the vias. A trench is then etched through the high molecular weight polymer and the dielectric layer. Any remaining high molecular weight polymer is then removed.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: March 10, 2009
    Assignee: International Business Machines Corporation
    Inventors: Wai-Kin Li, Wu-Song Huang
  • Publication number: 20090061649
    Abstract: A porous SiCOH (e.g., p-SiCOH) dielectric film in which the stress change caused by increased tetrahedral strain is minimized by post treatment in unsaturated Hydrocarbon ambient. The inventive p-SiCOH dielectric film has more —(CHx) and less Si—O—H and Si—H bondings as compared to prior art p-SiCOH dielectric films. Moreover, a stable pSiOCH dielectric film is provided in which the amount of Si—OH (silanol) and Si—H groups at least within the pores has been reduced by about 90% or less by the post treatment. Hence, the inventive p-SiCOH dielectric film has hydrophobicity improvement as compared with prior art p-SiCOH dielectric films. In the present invention, a p-SiCOH dielectric film is produced that is flexible since the pores of the inventive film include stabilized crosslinking —(CHx)— chains wherein x is 1, 2 or 3 therein.
    Type: Application
    Filed: August 28, 2007
    Publication date: March 5, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephen M. Gates, Alfred Grill, Son Nguyen, Satyanarayana V. Nitta, Thomas M. Shaw
  • Publication number: 20090053879
    Abstract: A method of fabricating a semiconductor device includes providing a semiconductor substrate in which a gate insulating layer and a pad layer are formed in an active region. A first trench is formed in an isolation region of the substrate. A passivation film is formed to cover the pad layer and fill the first trench. A second trench is formed by patterning the pad layer and removing an exposed semiconductor substrate, the second trench being formed within the first trench. An ion implantation process is performed on the semiconductor substrate exposed through the second trench.
    Type: Application
    Filed: December 5, 2007
    Publication date: February 26, 2009
    Applicant: Hynix Semiconductor Inc,
    Inventor: Guee-Hwang SIM
  • Publication number: 20090053904
    Abstract: In the present invention, a coating solution containing polysilazane is applied to a substrate to form a coating film. Thereafter, an ultraviolet ray is applied to the coating film formed on the substrate to cut a molecular bond of polysilazane in the coating film. Then, the coating film in which the molecular bond of polysilazane has been cut is oxidized while the coating film is being heated. Then, the oxidized coating film is baked at a baking temperature equal to or higher than a heating temperature when the coating film is oxidized.
    Type: Application
    Filed: August 15, 2008
    Publication date: February 26, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Gen You, Makoto Muramatsu, Hiroyuki Fujii, Shouichi Terada, Takanori Nishi
  • Patent number: 7494938
    Abstract: A porous low k or ultra low k dielectric film comprising atoms of Si, C, O and H (hereinafter “SiCOH”) in a covalently bonded tri-dimensional network structure having a dielectric constant of less than about 3.0, a higher degree of crystalline bonding interactions, more carbon as methyl termination groups and fewer methylene, —CH2— crosslinking groups than prior art SiCOH dielectrics is provided. The SiCOH dielectric is characterized as having a FTIR spectrum comprising a peak area for CH3+CH2 stretching of less than about 1.40, a peak area for SiH stretching of less than about 0.20, a peak area for SiCH3 bonding of greater than about 2.0, and a peak area for Si—O—Si bonding of greater than about 60%, and a porosity of greater than about 20%.
    Type: Grant
    Filed: February 5, 2007
    Date of Patent: February 24, 2009
    Assignees: International Business Machines Corporation, Sony Corporation, Sony Electronics Inc.
    Inventors: Son V. Nguyen, Sarah L. Lane, Jia Lee, Kensaku Ida, Darryl D. Restaino, Takeshi Nogami
  • Patent number: 7491658
    Abstract: A method for fabricating a SiCOH dielectric material comprising Si, C, O and H atoms from a single organosilicon precursor with a built-in organic porogen is provided. The single organosilicon precursor with a built-in organic porogen is selected from silane (SiH4) derivatives having the molecular formula SiRR1R2R3, disiloxane derivatives having the molecular formula R4R5R6—Si—O—Si—R7R8R9, and trisiloxane derivatives having the molecular formula R10R11R12—Si—O—Si—R13R14—O—Si—R15R16R17 where R and R1-17 may or may not be identical and are selected from H, alkyl, alkoxy, epoxy, phenyl, vinyl, allyl, alkenyl or alkynyl groups that may be linear, branched, cyclic, polycyclic and may be functionalized with oxygen, nitrogen or fluorine containing substituents. In addition to the method, the present application also provides SiCOH dielectrics made from the inventive method as well as electronic structures that contain the same.
    Type: Grant
    Filed: October 13, 2004
    Date of Patent: February 17, 2009
    Assignee: International Business Machines Corporation
    Inventors: Son Van Nguyen, Stephen McConnell Gates, Deborah A. Neumayer, Alfred Grill
  • Patent number: 7485585
    Abstract: In a method of forming a thin film and methods of manufacturing a gate structure and a capacitor, a hafnium precursor including one alkoxy group and three amino groups, and an oxidizing agent are provided on a substrate. The hafnium precursor is reacted with the oxidizing agent to form the thin film including hafnium oxide on the substrate. The hafnium precursor may be employed for forming a gate insulation layer of a transistor or a dielectric layer of a capacitor.
    Type: Grant
    Filed: March 10, 2006
    Date of Patent: February 3, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Geun Park, Jae-Hyun Yeo, Eun-Ae Chung, Ki-Vin Im, Young-Sun Kim, Sung-Tae Kim, Cha-Young Yoo
  • Patent number: 7482676
    Abstract: Low dielectric materials and films comprising same have been identified for improved performance when used as performance materials, for example, in interlevel dielectrics integrated circuits as well as methods for making same. In one aspect of the present invention, the performance of the dielectric material may be improved by controlling the weight percentage of ethylene oxide groups in the at least one porogen.
    Type: Grant
    Filed: July 11, 2006
    Date of Patent: January 27, 2009
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Brian Keith Peterson, John Francis Kirner, Scott Jeffrey Weigel, James Edward MacDougall, Lisa Deis, Thomas Albert Braymer, Keith Douglas Campbell, Martin Devenney, C. Eric Ramberg, Konstantinos Chondroudis, Keith Cendak
  • Patent number: 7482244
    Abstract: A wafer including a high stressed thin film thereon is lifted, and a pre-heating process is performed while the wafer is lifted. Subsequently, a dielectric layer is deposited on the high stressed thin film.
    Type: Grant
    Filed: September 16, 2005
    Date of Patent: January 27, 2009
    Assignee: United Microelectronics Corp.
    Inventors: Chih-Jen Mao, Hui-Shen Shih, Kuo-Wei Yang, Chun-Han Chuang, Chun-Hung Hsia
  • Patent number: 7482265
    Abstract: A method of manufacturing a semiconductor device having a low-k dielectric layer is provided. An embodiment comprises forming a dielectric layer on a substrate, wherein the layer comprises a pore generating material dispersed in an uncured matrix. A second step comprises forming pores in the uncured matrix by irradiating the layer with radiation having a first wavelength. After pore forming, a third step comprises cross-linking the dielectric by irradiating it at a second wavelength, the second being less than the first. In an embodiment, the irradiating wavelengths comprise ultra-violet radiation. Embodiments may further include repairing processing damage wherein the damage includes dangling bonds or silanol formation. The repairing includes annealing in a carbon-containing ambient such as C2H4, C3H6, or hexamethyldisilazane (HMDS).
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: January 27, 2009
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: I-I Chen, Tien-I Bao, Shwang-Ming Cheug, Chen-Hua Yu
  • Patent number: 7479463
    Abstract: Embodiments of an apparatus and methods for heating a substrate and a sacrificial layer are generally described herein. Other embodiments may be described and claimed.
    Type: Grant
    Filed: March 9, 2007
    Date of Patent: January 20, 2009
    Assignee: Tokyo Electron Limited
    Inventors: John Kulp, Michael Carcasi, Merritt Funk
  • Patent number: 7479306
    Abstract: A low-k dielectric material with increased cohesive strength for use in electronic structures including interconnect and sensing structures is provided that includes atoms of Si, C, O, and H in which a fraction of the C atoms are bonded as Si—CH3 functional groups, and another fraction of the C atoms are bonded as Si—R—Si, wherein R is phenyl, —[CH2]n— where n is greater than or equal to 1, HC?CH, C?CH2, C?C or a [S]n linkage, where n is a defined above.
    Type: Grant
    Filed: May 18, 2005
    Date of Patent: January 20, 2009
    Assignee: International Business Machines Corporation
    Inventors: Daniel C. Edelstein, Stephen M. Gates, Alfred Grill, Michael Lane, Qinghuang Lin, Robert D. Miller, Deborah A. Neumayer, Son Van Nguyen
  • Patent number: 7473653
    Abstract: Methods of preparing a low stress porous low-k dielectric material on a substrate are provided. The methods involve the use of a structure former precursor and/or porogen precursor with one or more organic functional groups. In some cases, the structure former precursor has carbon-carbon double or triple bonds. In other cases, one or both of the structure former precursor and porogen precursor has one or more bulky organic groups. In other cases, the structure former precursor has carbon-carbon double or triple bonds and one or both of the structure former precursor and porogen precursor has one or more bulky organic groups. Once the precursor film is formed, the porogen is removed, leaving a porous low-k dielectric matrix with high mechanical strength. Different types of structure former precursors and porogen precursors are described. The resulting low stress low-k porous film may be used as a low-k dielectric film in integrated circuit manufacturing applications.
    Type: Grant
    Filed: June 18, 2007
    Date of Patent: January 6, 2009
    Assignee: Novellus Systems, Inc.
    Inventors: Qingguo Wu, Haiying Fu, David C. Smith, David Mordo
  • Patent number: 7473579
    Abstract: A polymer-based, self-aligned wafer-level heterogeneous integration system, SA WLIT, for integrating semiconductor integrated circuit (IC) chips to a substrate is presented. The system includes a method including preparing a substrate, flipping the substrate onto a polymer-based flat surface and securing the substrate to the flat surface, mounting semiconductor chips into the prepared substrate, integrating the chips to the substrate with another polymer-based material, and removing the resulting multi-chip module from the flat surface. The chips may then be connected with each other and regions off the multi-chip module with metal interconnect processing technology. A multi-chip module prepared by the polymer-based, self-aligned heterogeneous integration system including semiconductor chips mounted in a prepared substrate. The chips may be connected to the substrate by a polymer-based integrating material.
    Type: Grant
    Filed: January 27, 2006
    Date of Patent: January 6, 2009
    Assignee: Purdue Research Foundation
    Inventors: Hasan Sharifi, Saeed Mohammadi, Linda P. B. Katehi
  • Patent number: 7470554
    Abstract: A method of forming a stacking structure by forming an electroconductive layer precursor pattern by an electroconductive paste made of a resin component, electroconductive fine particles, and glass fine particles, forming a dielectric layer precursor pattern by a dielectric paste made of a resin component and glass fine particles, and simultaneously baking both of those patterns, wherein they are held for a predetermined time while keeping a baking temperature which is equal to or higher than a decomposing temperature of the resin component and is equal to or lower than a baking start temperature of the glass fine particles and, thereafter, their baking is completed at the baking temperature which is equal to or higher than the baking start temperature of the glass fine particles and is lower than its softening point. Thus, the occurrence of a void and a pin hole in an insulative layer can be prevented in the stacking structure after the baking.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: December 30, 2008
    Assignee: Canon Kabushiki Kaisha
    Inventor: Toru Sugeno
  • Patent number: 7470634
    Abstract: Disclosed herein is a method for forming an interlayer dielectric film for a semiconductor device by using a polyhedral molecular silsesquioxane. According to the method, the polyhedral molecular silsesquioxane is used as a monomer for a siloxane-based resin or as a pore-forming agent (porogen) to prepare a composition for forming a dielectric film, and the composition is coated on a substrate to form an interlayer dielectric film for a semiconductor device. The interlayer dielectric film formed by the method has a low dielectric constant and shows superior mechanical properties.
    Type: Grant
    Filed: November 24, 2004
    Date of Patent: December 30, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyeon Jin Shin, Hyun Dam Jeong
  • Patent number: 7468287
    Abstract: Provided is a method of forming a heterojunction of contiguous layers of organic semiconducting polymers. The method comprises firstly forming a layer of a first organic semiconducting polymer on a substrate. A solution of a film-forming material is then deposited on the layer of the first organic semiconducting polymer. The first organic semiconducting polymer is insoluble in this solution and so is not disturbed by its deposition. The deposited solution is then dried to form a temporary film having a thickness of less then 20 nm formed from the film-forming material. Next a solution of a second organic semiconducting polymer dissolved in an organic solvent is deposited on the temporary film and this solution dried. The solubility of the material forming the temporary film in the organic solvent and the thickness of the temporary film are such that the organic solvent permeates through the thickness of the temporary film during drying of the solution of the second organic semiconducting polymer.
    Type: Grant
    Filed: February 28, 2006
    Date of Patent: December 23, 2008
    Assignee: Seiko Epson Corporation
    Inventors: Christopher Newsome, Thomas Kugler, Shunpu Li, David Russell