Insulative Material Having Impurity (e.g., For Altering Physical Characteristics, Etc.) Patents (Class 438/783)
  • Patent number: 7820559
    Abstract: An interconnect structure in which the adhesion between an upper level low-k dielectric material, such as a material comprising elements of Si, C, O, and H, and an underlying diffusion capping dielectric, such as a material comprising elements of C, Si, N and H, is improved by incorporating an adhesion transition layer between the two dielectric layers. The presence of the adhesion transition layer between the upper level low-k dielectric and the diffusion barrier capping dielectric can reduce the chance of delamination of the interconnect structure during the packaging process. The adhesion transition layer provided herein includes a lower SiOx— or SiON-containing region and an upper C graded region. Methods of forming such a structure, in particularly the adhesion transition layer, are also provided.
    Type: Grant
    Filed: June 23, 2008
    Date of Patent: October 26, 2010
    Assignee: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Stefanie R. Chiras, Timothy Dalton, James J. Demarest, Darren N. Dunn, Chester T. Dziobkowski, Philip L. Flaitz, Michael W. Lane, James R. Lloyd, Darryl D. Restaino, Thomas M. Shaw, Yun-Yu Wang, Chih-Chao Yang
  • Patent number: 7811860
    Abstract: A method for producing a device and a device is disclosed. In one embodiment, a component is surrounded by a material. A fluoropolymer-containing compound is produced at a surface of the material. A molding is produced from a material and a fluoropolymer-containing compound is produced at a surface of the molding by a vapor deposition.
    Type: Grant
    Filed: November 20, 2007
    Date of Patent: October 12, 2010
    Assignee: Infineon Technologies AG
    Inventors: Joachim Mahler, Markus Brunnbauer, Manfred Mengel, Christof Matthias Schilz
  • Patent number: 7811833
    Abstract: Provided are a multi-purpose magnetic film structure using a spin charge, a method of manufacturing the same, a semiconductor device having the same, and a method of operating the semiconductor memory device. The multi-purpose magnetic film structure includes a lower magnetic film, a tunneling film formed on the lower magnetic film, and an upper magnetic film formed on the tunneling film, wherein the lower and upper magnetic films are ferromagnetic films forming an electrochemical potential difference therebetween when the lower and upper magnetic films have opposite magnetization directions.
    Type: Grant
    Filed: September 14, 2007
    Date of Patent: October 12, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-wan Kim, Wan-jun Park, Sang-jin Park, In-jun Hwang, Soon-ju Kwon, Young-keun Kim, Richard J. Gambino
  • Patent number: 7803705
    Abstract: A dielectric film (91) made of CF is deposited on a substrate. A protective layer comprising an SiCN film (93) is formed on the dielectric film (91). A film (94) serving as a hardmask made of SiCO is deposited on the protective layer by a plasma containing active species of silicon, carbon, and oxygen. When the protective layer is formed, an SiC film (92) is deposited on the dielectric film (91) by a plasma containing active species of silicon and carbon, and thereafter the SiCN film (93) is deposited on the SiC film (92) by a plasma containing active species of silicon, carbon, and nitrogen.
    Type: Grant
    Filed: January 13, 2005
    Date of Patent: September 28, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Yasuo Kobayashi, Kenichi Nishizawa, Takatoshi Kameshima, Takaaki Matsuoka
  • Patent number: 7799668
    Abstract: The present invention provides method of forming a gate dielectric that includes forming a metal source layer (210) comprising a metal and at least one nonmetallic element over a substrate (110). The metal source layer (210) is formed having a composition rich in the metal. A dielectric layer (310) comprising the metal is formed over the metal source layer (210).
    Type: Grant
    Filed: August 17, 2005
    Date of Patent: September 21, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Hiroaki Niimi, Luigi Colombo, James J. Chambers
  • Patent number: 7790601
    Abstract: Disclosed is a process of an integration method to form an air gap in an interconnect. On top of a metal wiring layer on a semiconductor substrate is deposited a dielectric cap layer followed by a sacrificial dielectric layer and pattern transfer layers. A pattern is transferred through the pattern transfer layers, sacrificial dielectric layer, dielectric cap layer and into the metal wiring layer. The presence of the sacrificial dielectric layer aids in controlling the thickness and profile of the dielectric cap layer which in turn affects reliability of the interconnect.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: September 7, 2010
    Assignees: International Business Machines Corporation, Freescale Semiconductor Inc.
    Inventors: Samuel S. S. Choi, Lawrence A. Clevenger, Maxime Darnon, Daniel C. Edelstein, Satyanarayana Venkata Nitta, Shom Ponoth, Pak Leung
  • Patent number: 7786022
    Abstract: In the invention, a silica sol prepared by hydrolyzing and condensing a silane compound represented by the following formula: Si(OR1)4 or R2nSi(OR3)4-n wherein R1s, R2(s) and R3(s) may be the same or different when a plurality of them are contained in the molecule and each independently represents a linear or branched C1-4 alkyl group in the presence of a hydrophilic basic catalyst and a hydrophobic basic catalyst is used for a conventional porous-film forming composition.
    Type: Grant
    Filed: February 12, 2008
    Date of Patent: August 31, 2010
    Assignees: Shin-Etsu Chemical Co., Ltd., Matsushita Electric Industrial Co., Ltd.
    Inventors: Yoshitaka Hamada, Fujio Yagihashi, Takeshi Asano, Hideo Nakagawa, Masaru Sasago
  • Patent number: 7776763
    Abstract: A method is provided for in-situ formation of a thin oxidized AlN film on a substrate. The method includes providing the substrate in a process chamber, depositing an AlN film on the substrate, and post-treating the AlN film with exposure to a nitrogen and oxygen-containing gas. The post-treating increases the dielectric constant of the AlN film with substantially no increase in the AlN film thickness. The method can also include pre-treating the substrate prior to AlN deposition, post-annealing the AlN film before or after the post-treatment, or both.
    Type: Grant
    Filed: May 7, 2007
    Date of Patent: August 17, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Kimberly G. Reid, Anthony Dip
  • Patent number: 7776762
    Abstract: Dielectric layers containing a zirconium-doped tantalum oxide layer, where the zirconium-doped tantalum oxide layer is formed of one or more monolayers of tantalum oxide doped with zirconium, provide an insulating layer in a variety of structures for use in a wide range of electronic devices.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: August 17, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Publication number: 20100203742
    Abstract: Embodiments of the invention are directed to methods and apparatus for processing of a solar substrate for making a photovoltaic device. In particular, methods and apparatus for creating a negatively charged passivation layer by are provided.
    Type: Application
    Filed: February 6, 2009
    Publication date: August 12, 2010
    Applicant: Applied Materials, Inc.
    Inventors: Peter G. Borden, Christopher Sean Olsen
  • Patent number: 7772127
    Abstract: The invention relates to a method for forming a semiconductor heterostructure by providing a substrate with a first in-plane lattice parameter a1, providing a buffer layer with a second in-plane lattice parameter a2 and providing a top layer over the buffer layer. In order to improve the surface roughness of the semiconductor heterostructure, an additional layer is provided in between the buffer layer and the top layer, wherein the additional layer has a third in-plane lattice parameter a3 which is in between the first and second lattice parameters.
    Type: Grant
    Filed: November 3, 2005
    Date of Patent: August 10, 2010
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Christophe Figuet, Mark Kennard
  • Patent number: 7767593
    Abstract: By appropriately treating an interlayer dielectric material above P-channel transistors, the compressive stress may be significantly enhanced, which may be accomplished by expanding the interlayer dielectric material, for instance, by providing a certain amount of oxidizable species and performing an oxidation process.
    Type: Grant
    Filed: March 7, 2008
    Date of Patent: August 3, 2010
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ralf Richter, Carsten Peters, Juergen Boemmels
  • Publication number: 20100171182
    Abstract: A strained semiconductor device includes a first plurality of transistors spaced with a first gate pitch, a second plurality of transistors spaced with a second gate pitch greater than the first gate pitch, and an etch stop layer disposed on the first and second pluralities of transistors. The etch stop layer between each of the second plurality of transistors has a greater proportion of a stress-altering material than the etch stop layer between each of the first plurality of transistors.
    Type: Application
    Filed: January 5, 2010
    Publication date: July 8, 2010
    Inventors: Dong-Suk Shin, Pan-Kwi Park, Ha-Jin Lim, Joo-Chan Kim
  • Patent number: 7732349
    Abstract: The invention provides a manufacturing method of an insulating film having a plurality of pores, as well as a manufacturing method of a highly integrated semiconductor device with high yield. According to the invention, a porous insulating film is formed by forming a plurality of pores in an interlayer insulating film using a laser beam, which results in lower dielectric constant of the interlayer insulating film. In addition, a composition containing conductive particles is discharged onto the porous insulating film by a droplet discharge method typified by an ink jet printing method, and then baked to form a wire. As the laser beam, an ultrashort pulse laser beam is preferably used.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: June 8, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hiroko Yamamoto
  • Publication number: 20100136780
    Abstract: A method of manufacturing a semiconductor device comprising a first insulating film formed on a semiconductor substrate, a charge storage layer formed on the first insulating film, a second insulating film formed on the charge storage layer, and a control electrode formed on the second insulating film, wherein forming the second insulating film comprises forming an insulating film containing silicon using source gas not containing chlorine, and forming an insulating film containing oxygen and a metal element on the insulating film containing silicon.
    Type: Application
    Filed: January 12, 2010
    Publication date: June 3, 2010
    Inventors: Katsuaki Natori, Masayuki Tanaka, Akihito Yamamoto, Katsuyuki Sekine, Ryota Fujitsuka, Daisuke Nishida, Yoshio Ozawa
  • Patent number: 7727907
    Abstract: A semiconductor device (having an interlayer insulating film) which is sufficiently low in the dielectric constant and high in the mechanical strength is provided. A manufacturing method of a semiconductor device includes: a step of forming a dielectric thin film in which a plurality of pores are arranged around a skeleton mainly made of a Si—O bond, on a surface of a semiconductor substrate on which a desired element region is formed; a step of applying patterning on a surface of the dielectric thin film through a mask; and a step of bringing a gas containing at least one kind of tetramethylcyclotetrasiloxane (TMCTS), hexamethyldisilazane (HMDS) and trimethylchlorosilane (TMCS) molecules into contact with the patterned surface of the dielectric thin film.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: June 1, 2010
    Assignees: ULVAC Inc., Mitsui Chemicals, Inc.
    Inventors: Yoshiaki Oku, Nobutoshi Fujii, Kazuo Kohmura
  • Patent number: 7723771
    Abstract: A capacitor structure comprises a first and a second electrode of conducting material. Between the first and second electrodes, an atomic layer deposited dielectric film is disposed, which comprises zirconium oxide and a dopant oxide. Herein, the dopant comprises an ionic radius that differs by more than 24 pm from an ionic radius of zirconium, while the dielectric film comprises a dopant content of 10 atomic percent or less of the dielectric film material excluding oxygen. A process for fabricating a capacitor comprises a step of forming a bottom electrode of the capacitor. On the bottom electrode, a dielectric film comprising zirconium oxide is deposited, and a step for introducing a dopant oxide into the dielectric film performed. On the dielectric structure, a top electrode is formed.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: May 25, 2010
    Assignee: Qimonda AG
    Inventors: Tim Boescke, Uwe Schroeder
  • Patent number: 7709359
    Abstract: A method of fabricating an integrated circuit with a dielectric layer on a substrate is disclosed. One embodiment provides forming the dielectric layer in an amorphous state on the substrate, the dielectric layer having a crystallization temperature; a doping the dielectric layer; a forming of a covering layer on the dielectric layer at a temperature being equal to or below the crystallization temperature; and a heating of the dielectric layer to a temperature being equal to or greater than the crystallization temperature.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: May 4, 2010
    Assignee: Qimonda AG
    Inventors: Tim Boescke, Johannes Heitmann, Uwe Schroder
  • Patent number: 7709401
    Abstract: An interconnect and method of making the interconnect. The method includes forming a dielectric layer on a substrate, the dielectric layer having a top surface and a bottom surface; forming a first wire and a second wire in the dielectric layer, the first wire separated from the second wire by a region of the dielectric layer; and forming metallic nanoparticles in or on the top surface of the dielectric layer between the first and second wires, the metallic nanoparticles capable of electrically connecting the first wire and the second wire only while the nanoparticles are heated to a temperature greater than room temperature and a voltage is applied between the first and second wires.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: May 4, 2010
    Assignee: International Business Machines Corporation
    Inventors: Fen Chen, Cathryn Jeanne Christiansen, Michael Anthony Shinosky, Timothy Dooling Sullivan
  • Patent number: 7704894
    Abstract: This invention provides a high throughput PECVD process for depositing TEOS films in a multi-station sequential deposition chamber. The methods significantly reduce the number of particles in the TEOS films, thereby eliminating or minimizing small bin defects. The methods of the invention involve dedicating a first station for temperature soak while flowing purge gas. Stopping the flow of reactant gas and flowing the purge gas for station 1 eliminates TEOS condensation on a cold wafer surface and significantly reduces the number of defects in the film, particularly for short temperature soaks.
    Type: Grant
    Filed: November 20, 2006
    Date of Patent: April 27, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Jon Henri, Xingyuan Tang, Jason Tian, Kevin Gerber, Arul N. Dhas
  • Publication number: 20100068896
    Abstract: A method of processing a substrate to form a thin film into which an impurity is introduced, the method including forming a thin film on the substrate; and introducing the impurity to the thin film by irradiating a gas cluster ion beam, which is generated by ionizing and accelerating a gas cluster of the impurity, onto the thin film.
    Type: Application
    Filed: September 16, 2009
    Publication date: March 18, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takuya SUGAWARA, Koji YAMASHITA
  • Patent number: 7678688
    Abstract: A method for forming a metal interconnection in an image sensor includes forming a first interlayer dielectric (ILD) layer having a contact plug over a substrate, forming a diffusion barrier layer over the first ILD layer, performing a forming gas annealing, forming a second ILD layer over the diffusion barrier layer, etching the second ILD layer and the diffusion barrier layer to form a trench, forming a conductive layer to fill the trench, and planarizing the conductive layer to form a metal interconnection electrically connected to the contact plug.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: March 16, 2010
    Inventor: Kyeong-Keun Choi
  • Patent number: 7678710
    Abstract: The present invention generally provides methods and apparatuses that are adapted to form a high quality dielectric gate layer on a substrate. Embodiments contemplate a method wherein a metal plasma treatment process is used in lieu of a standard nitridization process to form a high dielectric constant layer on a substrate. Embodiments further contemplate an apparatus adapted to “implant” metal ions of relatively low energy in order to reduce ion bombardment damage to the gate dielectric layer, such as a silicon dioxide layer and to avoid incorporation of the metal atoms into the underlying silicon. In general, the process includes the steps of forming a high-k dielectric and then terminating the surface of the deposited high-k material to form a good interface between the gate electrode and the high-k dielectric material.
    Type: Grant
    Filed: December 20, 2006
    Date of Patent: March 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Thai Cheng Chua, Steven Hung, Patricia M. Liu, Tatsuya Sato, Alex M. Paterson, Valentin Todorov, John P. Holland
  • Patent number: 7674680
    Abstract: The invention is directed to a device for regulating the flow of electric current with high dielectric constant gate insulating layer and a source and/or drain forming a Schottky contact or Schottky-like region with a substrate and its fabrication method. In one aspect, the gate insulating layer has a dielectric constant greater than the dielectric constant of silicon. In another aspect, the current regulating device may be a MOSFET device, optionally a planar P-type or N-type MOSFET, having any orientation. In another aspect, the source and/or drain may consist partially or fully of a silicide.
    Type: Grant
    Filed: October 5, 2004
    Date of Patent: March 9, 2010
    Assignee: Avolare 2, LLC
    Inventors: John P. Snyder, John P. Larson
  • Patent number: 7645710
    Abstract: The present invention generally provides methods and apparatuses that are adapted to form a high quality dielectric gate layer on a substrate. Embodiments contemplate a method wherein a metal plasma treatment process is used in lieu of a standard nitridization process to form a high dielectric constant layer on a substrate. Embodiments further contemplate an apparatus adapted to “implant” metal ions of relatively low energy in order to reduce ion bombardment damage to the gate dielectric layer, such as a silicon dioxide layer and to avoid incorporation of the metal atoms into the underlying silicon. In general, the process includes the steps of forming a high-k dielectric and then terminating the surface of the deposited high-k material to form a good interface between the gate electrode and the high-k dielectric material.
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: January 12, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Christopher Sean Olsen, Thai Cheng Chua, Steven Hung, Patricia M. Liu, Tatsuya Sato, Alex M. Paterson, Valentin Todorow, John P. Holland
  • Patent number: 7642202
    Abstract: A nitrogen-free anti-reflective layer for use in semiconductor photolithography is fabricated in a chemical vapor deposition process, optionally plasma-enhanced, using a gaseous mixture of carbon, silicon, and oxygen sources. By varying the process parameters, a substantially hermetic layer with acceptable values of the refractive index n and extinction coefficient k can be obtained. The nitrogen-free moisture barrier anti-reflective layer produced by this technique improves plasma etch of features such as vias in subsequent processing steps.
    Type: Grant
    Filed: June 27, 2005
    Date of Patent: January 5, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Ming Li, Bart Van Schravendijk, Tom Mountsier, Chiu Chi, Kevin Ilcisin, Julian Hsieh
  • Patent number: 7642204
    Abstract: In one aspect, the invention includes a method of forming an insulating material comprising: a) providing a substrate within a reaction chamber; b) providing reactants comprising a Si, F and ozone within the reaction chamber; and c) depositing an insulating material comprising fluorine, silicon and oxygen onto the substrate from the reactants. In another aspect, the invention includes a method of forming a boron-doped silicon oxide having Si—F bonds, comprising: a) providing a substrate within a reaction chamber; b) providing reactants comprising Triethoxy fluorosilane, a boron-containing precursor, and ozone within the reaction chamber; and c) depositing a boron-doped silicon oxide having Si—F bonds onto the substrate from the reactants.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: January 5, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Anand Srinivasan, Gurtej Sandhu, Ravi Iyer
  • Patent number: 7633125
    Abstract: Integration of silicon boron nitride in high voltage semiconductors is generally described. In one example, a microelectronic apparatus includes a semiconductor substrate upon which transistors of an integrated circuit are formed, a plurality of transistor gates formed upon the semiconductor substrate, a gate spacer dielectric disposed between the gates, and a contact etch stop dielectric disposed upon the gates and gate spacer dielectric, the contact etch stop dielectric comprising silicon boron nitride (SiBN) to reduce breakdown of the contact etch stop dielectric in high voltage applications.
    Type: Grant
    Filed: August 31, 2007
    Date of Patent: December 15, 2009
    Assignee: Intel Corporation
    Inventors: Donghui Lu, Jun-Yen J. Tewg
  • Patent number: 7629272
    Abstract: Processes for forming porous low k dielectric materials from low k dielectric films containing a porogen material include exposing the low k dielectric film to ultraviolet radiation. In one embodiment, the film is exposed to broadband ultraviolet radiation of less than 240 nm for a period of time and intensity effective to remove the porogen material. In other embodiments, the low k dielectric film is exposed to a first ultraviolet radiation pattern effective to increase a crosslinking density of the film matrix while maintaining a concentration of the porogen material substantially the same before and after exposure to the first ultraviolet radiation pattern. The low k dielectric film can be then be processed to form a metal interconnect structure therein and subsequently exposed to a second ultraviolet radiation pattern effective to remove the porogen material from the low k dielectrics film and form a porous low k dielectric film.
    Type: Grant
    Filed: June 7, 2005
    Date of Patent: December 8, 2009
    Assignee: Axcelis Technologies, Inc.
    Inventors: Carlo Waldfried, Qingyuan Han, Orlando Escorcia, Ivan Berry, III
  • Patent number: 7626217
    Abstract: Group III-Nitride semiconductor device structures and methods of fabricating Group III-Nitride structures are provided that include an electrically conductive Group III-Nitride substrate, such as a GaN substrate, and a semi-insulating or insulating Group III-Nitride epitaxial layer, such as a GaN epitaxial layer, on the electrically conductive Group III-Nitride substrate. The Group III-Nitride epitaxial layer has a lattice constant that is and a composition that may be substantially the same as a composition and a lattice constant of the Group III-Nitride substrate.
    Type: Grant
    Filed: April 11, 2005
    Date of Patent: December 1, 2009
    Assignee: Cree, Inc.
    Inventor: Adam William Saxler
  • Patent number: 7618902
    Abstract: A method for forming a dielectric layer is provided. The method may include providing a semiconductor surface and etching a thin layer of the semiconductor substrate to expose a surface of the semiconductor surface, wherein the exposed surface is hydrophobic. The method may further include treating the exposed surface of the semiconductor substrate with plasma to neutralize a hydrophobicity associated with the exposed surface, wherein the exposed surface is treated using plasma with a power in a range of 100 watts to 500 watts and for duration in a range of 1 to 60 seconds. The method may further include forming a metal-containing layer on a top surface of the plasma treated surface using an atomic layer deposition process.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: November 17, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Dina H. Triyoso, Olubunmi O. Adetutu
  • Patent number: 7615490
    Abstract: A method of fabricating a landing plug of a semiconductor device includes performing a double patterning process to separately form a landing plug contact hole for a storage node and a landing plug contact hole for a bit line, thereby facilitating forming a device having a half pitch of 30 nm.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: November 10, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jin Soo Kim
  • Patent number: 7601649
    Abstract: A dielectric film containing zirconium-doped tantalum oxide arranged as a structure of one or more monolayers and a method of fabricating such a dielectric film produce a reliable dielectric layer for use in a variety of electronic devices. In an embodiment, a zirconium-doped tantalum oxide dielectric layer may be formed by depositing tantalum by atomic layer deposition onto a substrate surface and depositing a zirconium dopant by atomic layer deposition onto the substrate surface.
    Type: Grant
    Filed: August 2, 2004
    Date of Patent: October 13, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7598177
    Abstract: Methods of filling trenches/gaps defined by circuit elements on an integrated circuit substrate are provided. The methods include forming a first high-density plasma layer on an integrated circuit substrate including at least one trench thereon using a first reaction gas. The first high-density plasma layer is etched using an etch gas including nitrogen fluoride gas (NF3). A second high-density plasma layer is formed on the etched first high-density plasma layer using a second reaction gas including nitrogen fluoride.
    Type: Grant
    Filed: April 11, 2006
    Date of Patent: October 6, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-Won Cha, Kyu-tae Na
  • Patent number: 7592272
    Abstract: An object of the present invention is to provide a method of depositing yttrium-stabilized hafnia use for a DRAM capacitor insulating film while controlling the composition at a high accuracy by an atomic layer deposition method. The atomic deposition method is performed by introducing a hafnium compound precursor, introducing a yttrium compound precursor and introducing an oxidant as one cycle. In the atomic deposition method, the addition amount of yttrium into hafnia is controlled accurately by controlling the time of introducing the hafnium compound precursor and the yttrium compound precursor and controlling the replacement ratio of OH groups on a sample surface by each of the precursors.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: September 22, 2009
    Assignee: Hitachi, Ltd.
    Inventor: Osamu Tonomura
  • Patent number: 7592254
    Abstract: The present invention provides methods for conformally or superconformally coating and/or uniformly filling structures with a continuous, conformal layer or superconformal layer. Methods of the present invention improve conformal or superconformal coverage of surfaces and improve fill in recessed features compared to conventional physical deposition and chemical deposition methods, thereby minimizing formation of voids or gaps in a deposited conformal or superconformal layer. The present methods are capable of coating or filling features useful for the fabrication of a broad class of electronic, electrical and electromechanical devices.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: September 22, 2009
    Assignee: The Board of Trustees of the University of Illinois
    Inventors: John R. Abelson, Sreenivas Jayaraman, Gregory S. Girolami, Yu Yang, Do Young Kim
  • Patent number: 7592270
    Abstract: Some example embodiments of the invention provide a method to improve the performance of MOS devices by increasing the stress in the channel region. An example embodiment for a NMOS transistor is to form a tensile stress layer over a NMOS transistor. A heavy ion implantation is performed into the stress layer and then an anneal is performed. This increases the amount of stress from the stress layer that the gate retains/memorizes thereby increasing device performance.
    Type: Grant
    Filed: November 15, 2007
    Date of Patent: September 22, 2009
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Lee Wee Teo, Elgin Quek
  • Patent number: 7592273
    Abstract: A method of forming a semiconductor device comprises providing a portion of a semiconductor device structure, wherein the portion includes a region susceptible to hydrogen incorporation due to subsequent device processing. For example, the subsequent device processing can include one or more of (i) forming a layer over the region, wherein the layer includes hydrogen and (ii) using gases containing hydrogen in a plasma for the subsequent device processing, wherein the semiconductor device is subject to an undesirable device characteristic alteration by hydrogen incorporation into the region. The method further comprises forming a hydrogen barrier layer overlying the region, wherein the hydrogen barrier layer prevents substantial migration of hydrogen made available due to the subsequent device processing into the underlying region. The method further includes performing the subsequent device processing.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: September 22, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Stanley M. Filipiak, Zhi-Xiong Jiang, Mehul D. Shroff
  • Patent number: 7588996
    Abstract: An oxide pattern forming method comprises forming an oxide layer on a semiconductor substrate, implanting boron ions of not less than 1.0×1016 atoms/cm2 onto the oxide layer in a given region, and wet-etching the oxide layer in the remaining region where the boron ions are not implanted.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: September 15, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hyo Geun Yoon, Woo Jin Kim, Dong Joo Kim, Ji Yong Park, Yong Soo Jung, Geun Min Choi, Young Wok Song, Sang Hyun Lee
  • Patent number: 7582540
    Abstract: This method for manufacturing an SOI wafer includes: a step of forming insulating films in a front surface and a mirror-polished rear surface of an active layer wafer; a step of removing the insulating film in the front surface of the active layer wafer; a step of subjecting the active layer wafer to a rapid thermal annealing process; a step of bonding the active layer wafer and a support wafer with the insulating film formed in the rear surface therebetween so as to form a bonded wafer; a step of subjecting the bonded wafer to a heat treatment for bonding enhancement which enhances a bonding strength between the active layer wafer and the support wafer; and a step of thinning the active layer wafer in the bonded wafer so as to form an SOI layer.
    Type: Grant
    Filed: November 30, 2005
    Date of Patent: September 1, 2009
    Assignee: Sumco Corporation
    Inventors: Takaaki Shiota, Yasuhiro Oura
  • Patent number: 7563729
    Abstract: A method of forming a dielectric film on a substrate surface includes the steps of forming the dielectric film on the substrate surface in plural steps, and reforming, in each of the plural steps of forming the dielectric film, the dielectric film in an ambient primarily of nitrogen.
    Type: Grant
    Filed: May 24, 2005
    Date of Patent: July 21, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Shiqin Xiao, Takayuki Ohba
  • Patent number: 7541296
    Abstract: Disclosed is a method for effectively forming a Low-k insulating film. The method comprises the steps of: spin-coating on an underlying layer a precursor solution formed by dispersing Low-k materials in a solvent to form a coating film, subjecting the coating film to a baking treatment under heating for about several minutes at a temperature near a boiling point of the solvent, forming, on the coating film after the baking treatment, an SiC barrier film using a CVD method, and subjecting the coating film to a hydrogen plasma treatment through the barrier film continuously using the same CVD apparatus as used in forming the barrier film without taking out the coating film from the CVD apparatus.
    Type: Grant
    Filed: July 1, 2005
    Date of Patent: June 2, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Tamotsu Owada, Hirofumi Watatani, Yoshihiro Nakata, Shirou Ozaki, Shun-ichi Fukuyama
  • Publication number: 20090130834
    Abstract: Methods of forming an insulating film include forming an insulating film on a substrate. A first impurity is injected into the insulating film using a thermal process under a first set of processing conditions to form a first impurity concentration peak in a lower portion of the insulating film. A second impurity is injected into the insulating film using the thermal process under a second set of processing conditions, different from the first set of processing conditions, to form a second impurity concentration peak in an upper portion of the insulating film. Injecting the first impurity and injecting the second impurity may be carried out without using plasma and the first impurity concentration peak may be higher than the second impurity concentration peak.
    Type: Application
    Filed: August 8, 2008
    Publication date: May 21, 2009
    Inventors: Young-Jin Noh, Bon-Young Koo, Si-Young Choi, Ki-Hyun Hwang, Chul-Sung Kim, Sung-Kweon Baek, Jin-Hwa Heo
  • Patent number: 7531466
    Abstract: A method of making a doped silicon oxide thin film using a doped silicon oxide precursor solution includes mixing a silicon source in an organic acid and adding 2-methoxyethyl ether to the silicon source and organic acid to from a preliminary precursor solution. The resultant solution is heated, stirred and filtered. A doping impurity is dissolved in 2-methoxyethanol to from a doped source solution, and the resultant solution mixed with the previously described resultant solution to from a doped silicon oxide precursor solution. A doped silicon oxide thin film if formed on a wafer by spin coating. The thin film and the wafer are baked at progressively increasing temperatures and the thin film and the wafer are annealed.
    Type: Grant
    Filed: July 26, 2006
    Date of Patent: May 12, 2009
    Assignee: Sharp LaborAtories of America, Inc.
    Inventors: Wei-Wei Zhuang, Yoshi Ono, Tingkai Li
  • Patent number: 7527991
    Abstract: In a light emitting apparatus comprising a light emitting device, a fluorescent substance capable of absorbing at least a portion of light emitted by the light emitting device and emitting light having a different wavelength, and a color converting member which contains the fluorescent substance and directly coat the light emitting device, the color converting member contains at least an epoxy resin derived from triazine and a mixing ratio of the epoxy resin derived from triazine to the acid anhydride curing agent in the color converting member is from 100:80 to 100:240.
    Type: Grant
    Filed: May 20, 2005
    Date of Patent: May 5, 2009
    Assignee: Nichia Corporation
    Inventors: Masanobu Sato, Tomoya Tsukioka, Masafumi Kuramoto
  • Patent number: 7517816
    Abstract: By providing a contact etch stop layer, the stress in channel regions of different transistor types may be effectively controlled, wherein tensile and compressive stress portions of the contact etch stop layer may be obtained by well-established processes, such as wet chemical etch, plasma etch, ion implantation, plasma treatment and the like. Hence, a significant improvement in transistor performance may be obtained while not significantly contributing to process complexity.
    Type: Grant
    Filed: February 15, 2005
    Date of Patent: April 14, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kai Frohberg, Matthias Schaller, Massud Aminpur
  • Patent number: 7517815
    Abstract: A spin-on glass composition includes a solvent, about 3 to about 20 percent by weight of a porogen, and about 3 to about 20 percent by weight of a silsesquioxane oligomer represented by formula (1), where, in the formula (1), Y1 and Y2 independently represent a hydrolyzable alkoxy group, R represents a lower alkyl group, and n and m independently represent an integer in a range of one to nine both inclusive.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: April 14, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun-Hyun Cho, Jung-Sik Choi, Jung-Ho Lee, Mi-Ae Kim
  • Patent number: 7510983
    Abstract: Embodiments of an electronic apparatus and embodiments for methods of forming the electronic apparatus include a conductive layer having an iridium-based layer, where the conductive layer is disposed on a dielectric layer containing zirconium oxide. In various embodiments, each of the zirconium oxide layer and the iridium-based layer may be structured as one or more monolayers. In various embodiments, each of the iridium-based layer and the zirconium oxide layer may be formed using atomic layer deposition.
    Type: Grant
    Filed: June 14, 2005
    Date of Patent: March 31, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7510942
    Abstract: A method of increasing the work function of micro-electrodes includes providing a metal or silica surface functionalized with reactive groups and contacting the functionalized surface with a solution of at least one biochemical, having a permanent dipole moment and being capable of self assembly, for a sufficient time for the biochemical to self assemble molecularly (SAM) on the functionalized surface. The biochemical can be aminopropyl triethoxy silane, fatty acids, organosilicon derivatives, organosulfur compounds, alkyl chains, or diphosphates. Use in a wide variety of metals and metallic compounds is disclosed.
    Type: Grant
    Filed: November 24, 2004
    Date of Patent: March 31, 2009
    Assignee: Arizona Board of Regents, Acting for and on behalf of Arizona State University
    Inventors: Sandwip K. Dey, Diefeng Gu, Rizaldi Sistiabudi, Jaydeb Goswami
  • Patent number: 7511326
    Abstract: The use of atomic layer deposition (ALD) to form an amorphous dielectric layer of titanium oxide (TiOx) doped with lanthanide elements, such as samarium, europium, gadolinium, holmium, erbium and thulium, produces a reliable structure for use in a variety of electronic devices. The dielectric structure is formed by depositing titanium oxide by atomic layer deposition onto a substrate surface using precursor chemicals, followed by depositing a layer of a lanthanide dopant, and repeating to form a sequentially deposited interleaved structure. Such a dielectric layer may be used as the gate insulator of a MOSFET, as a capacitor dielectric, or as a tunnel gate insulator in flash memories, because the high dielectric constant (high-k) of the layer provides the functionality of a thinner silicon dioxide layer, and because the reduced leakage current of the dielectric layer when the percentage of the lanthanide element doping is optimized.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: March 31, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes