Insulative Material Having Impurity (e.g., For Altering Physical Characteristics, Etc.) Patents (Class 438/783)
  • Patent number: 7112543
    Abstract: The invention encompasses a method of forming a silicon-doped aluminum oxide. Aluminum oxide and silicon monoxide are co-evaporated. Subsequently, at least some of the evaporated aluminum oxide and silicon monoxide is deposited on a substrate to form the silicon-doped aluminum oxide on the substrate. The invention also encompasses methods of forming transistors and flash memory devices.
    Type: Grant
    Filed: January 4, 2001
    Date of Patent: September 26, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Ki Y. Ahn, Leonard Forbes
  • Patent number: 7112484
    Abstract: An integrated programmable conductor memory cell and diode device in an integrated circuit comprises a diode and a glass electrolyte element, the glass electrolyte element having metal ions mixed or dissolved therein and being able to selectively form a conductive pathway under the influence of an applied voltage. In one embodiment, both the diode and the memory cell comprise a chalcogenide glass, such as germanium selenide (e.g., Ge2Se8 or Ge25Se75). The first diode element comprises a chalcogenide glass layer having a first conductivity type, the second diode element comprises a chalcogenide glass layer doped with an element such as bismuth and having a second conductivity type opposite to the first conductivity type and the memory cell comprises a chalcogenide glass element with silver ions therein. In another embodiment, the diode comprises silicon and there is a diffusion barrier layer between the diode and the chalcogenide glass memory element.
    Type: Grant
    Filed: December 6, 2004
    Date of Patent: September 26, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Terry L. Gilton
  • Patent number: 7105431
    Abstract: The invention includes masking methods. In one implementation, a masking material comprising boron doped amorphous carbon is formed over a feature formed on a semiconductor substrate. The masking material comprises at least about 0.5 atomic percent boron. The masking material is substantially anisotropically etched effective to form an anisotropically etched sidewall spacer comprising the boron doped amorphous carbon on a sidewall of the feature. The substrate is then processed proximate the spacer while using the boron doped amorphous carbon comprising spacer as a mask. After processing the substrate proximate the spacer, the boron doped amorphous carbon comprising spacer is etched from the substrate. Other implementations and aspects are contemplated.
    Type: Grant
    Filed: August 22, 2003
    Date of Patent: September 12, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Zhiping Yin, Gurtej S. Sandhu
  • Patent number: 7105464
    Abstract: A semiconductor device includes a semiconductor substrate which has a major surface and a MOS transistor which has a gate and first and second diffusion regions and which is formed on the major surface. The semiconductor device also includes a laminated structure of a SOG layer, wherein the laminated structure is composed of a base layer and a surface layer formed on the base layer and is formed over the MOS transistor and wherein the surface layer is denser than the base layer.
    Type: Grant
    Filed: June 8, 2004
    Date of Patent: September 12, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Kazuhiko Asakawa, Wataru Shimizu
  • Patent number: 7101787
    Abstract: A system and method is disclosed for minimizing increases in via resistance by applying a nitrogen plasma after a titanium liner deposition. A via in a semiconductor device is formed by placing a metal layer on a substrate and placing a layer of anti-reflective coating (ARC) titanium nitride (TiN) over the metal layer. A layer of dielectric material is placed over the ARC TiN layer and a via passage is etched through the dielectric and partially through the ARC TiN layer. A titanium layer is then deposited and subjected to a nitrogen plasma process. The nitrogen plasma converts the titanium layer to a first layer of titanium nitride. The first layer of titanium nitride does not react with fluorine to form a high resistance compound. Therefore the electrical resistance of the first layer of titanium nitride does not significantly increase during subsequent thermal cycles.
    Type: Grant
    Filed: April 9, 2004
    Date of Patent: September 5, 2006
    Assignee: National Semiconductor Corporation
    Inventors: Sergei Drizlikh, Thomas John Francis
  • Patent number: 7101814
    Abstract: A method for forming a semiconductor device comprises forming a dielectric layer over a semiconductor wafer substrate assembly having closely-spaced regions, such as a memory transistor array, and widely-spaced regions, such as a periphery. Under conditions specified, the dielectric layer forms to have a first thickness over the closely-spaced regions and a second thickness over the widely-spaced regions. The second thickness is much thinner than the first thickness and dielectric over the widely-spaced regions may be etched away with a blanket etch which leaves the majority of the dielectric layer over the closely-spaced regions.
    Type: Grant
    Filed: August 13, 2004
    Date of Patent: September 5, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Christopher W. Hill
  • Patent number: 7078356
    Abstract: A low k interlevel dielectric layer fabrication method includes providing a substrate having integrated circuitry at least partially formed thereon. An oxide comprising interlevel dielectric layer comprising carbon and having a dielectric constant no greater than 3.5 is formed over the substrate. After forming the carbon comprising dielectric layer, it is exposed to a plasma comprising oxygen effective to reduce the dielectric constant to below what it was prior to said exposing. A low k interlevel dielectric layer fabrication method includes providing a substrate having integrated circuitry at least partially formed thereon. In a chamber, an interlevel dielectric layer comprising carbon and having a dielectric constant no greater than 3.5 is plasma enhanced chemical vapor deposited over the substrate at subatmospheric pressure.
    Type: Grant
    Filed: March 19, 2002
    Date of Patent: July 18, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Weimin Li, Zhiping Yin, William Budge
  • Patent number: 7071126
    Abstract: An interlayer dielectric may be exposed to a gas cluster ion beam to densify an upper layer of the interlayer dielectric. As a result, the upper layer of the interlayer dielectric may be densified without separate deposition steps and without the need for etch stops that may adversely affect the capacitance of the overall structure.
    Type: Grant
    Filed: April 8, 2005
    Date of Patent: July 4, 2006
    Assignee: Intel Corporation
    Inventors: Steven W. Johnston, Kevin P. O'Brien
  • Patent number: 7071125
    Abstract: A method including introducing a precursor in the presence of a circuit substrate, and forming a film including a reaction product of the precursor on the substrate, wherein the precursor includes a molecule comprising a primary species of the film and a modifier. A method including introducing a precursor in the presence of a circuit substrate, the precursor including a primary species and a film modifier as a single source, and forming a film on the circuit substrate. An apparatus including a semiconductor substrate, and a film on a surface of the semiconductor substrate, the film including a reaction product of a precursor including a molecule comprising a primary species and a modifier.
    Type: Grant
    Filed: September 22, 2004
    Date of Patent: July 4, 2006
    Assignee: Intel Corporation
    Inventors: Michael L. McSwiney, Huey-Chiang Liou, Michael D. Goodner, Robert E. Leet, Robert P. Meagley
  • Patent number: 7067414
    Abstract: A low k inter-level dielectric layer fabrication method includes providing a substrate having integrated circuitry at least partially formed thereon. An oxide-comprising inter-level dielectric layer including carbon and having a dielectric constant no greater than 3.5 is formed over the substrate. After forming the dielectric layer, it is exposed to a plasma including oxygen effective to reduce the dielectric constant to below what it was prior to the exposing. A low k inter-level dielectric layer fabrication method includes providing a substrate having integrated circuitry at least patially formed thereon. In a chamber, an inter-level dielectric layer including carbon and having a dielectric constant no greater than 3.5 is plasma-enhanced chemical vapor deposited over the substrate at subatmospheric pressure.
    Type: Grant
    Filed: March 27, 2000
    Date of Patent: June 27, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Weimin Li, Zhiping Yin, William Budge
  • Patent number: 7067415
    Abstract: A low k interlevel dielectric layer fabrication method includes providing a substrate having integrated circuitry at least partially formed thereon. An oxide comprising interlevel dielectric layer comprising carbon and having a dielectric constant no greater than 3.5 is formed over the substrate. After forming the carbon comprising dielectric layer, it is exposed to a plasma comprising oxygen effective to reduce the dielectric constant to below what it was prior to said exposing. A low k interlevel dielectric layer fabrication method includes providing a substrate having integrated circuitry at least partially formed thereon. In a chamber, an interlevel dielectric layer comprising carbon and having a dielectric constant no greater than 3.5 is plasma enhanced chemical vapor deposited over the substrate at subatmospheric pressure.
    Type: Grant
    Filed: July 25, 2002
    Date of Patent: June 27, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Weimin Li, Zhiping Yin, William Budge
  • Patent number: 7064087
    Abstract: A method for depositing a doped silicon dioxide layer is provided that allows the dopant concentration in the silicon dioxide layer to be controlled throughout the layer. By controlling the dopant concentration throughout the layer the etch profile of contact holes etched into the layer can be controlled and footing can be prevented or eliminated. During the deposition of the silicon dioxide, the amount of dopant is increased as the temperature of the wafer is increased and held constant while the temperature of the wafer is constant.
    Type: Grant
    Filed: November 15, 2001
    Date of Patent: June 20, 2006
    Assignee: Novellus Systems, Inc.
    Inventors: Michael Turner, Waikit Fung, Oliver Graudejus, Doug Winandy
  • Patent number: 7064084
    Abstract: To provide a method for the formation of oxide films to form with advantage a high-quality oxide film having excellent uniformity in film thickness and film quality over the entire wafer. The method for the formation of oxide films comprises: the pretreatment process of forming a protective oxide film on the surface of a wafer positioned in a reaction vessel by performing oxidation treatment with radical oxidative species or an atmosphere containing radical oxidative species under depressurized conditions; and the oxide-film-formation process of forming an oxide film on the wafer by performing oxidation treatment at a predetermined temperature under depressurized conditions. The oxide-film-formation process is preferably performed following the pretreatment process in a continuous manner in the reaction vessel in which the pretreatment process is performed.
    Type: Grant
    Filed: February 28, 2002
    Date of Patent: June 20, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Shingo Hishiya, Koji Akiyama, Yoshikazu Furusawa, Kimiya Aoki
  • Patent number: 7056841
    Abstract: A method for fabricating a semiconductor device for reducing coupling noise resulting from high integration of devices, comprises the steps of forming a plurality of metal wiring leads spaced from each other by a predetermined distance and arranged on a semiconductor substrate having a predetermined under layer; forming an insulating interlayer on an entire surface of the semiconductor substrate so that the metal wiring leads are covered with the insulating interlayer; and ion-implanting conductive impurities having a plurality opposite to each other into side end layers of the insulating interlayer disposed between the metal wiring leads so as to reduce the internal charges electrified due to an applied external electric field.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: June 6, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Kang Tae Park
  • Patent number: 7041530
    Abstract: A method of the production of a nanoparticle dispersed composite material capable of controlling a particle size and a three dimensional arrangement of the nanoparticles is provided. The method of the production of a nanoparticle dispersed composite material of the present invention includes a step (a) of arranging a plurality of core fine particle-protein complexes having a core fine particle, which comprises an inorganic material, internally included within a protein on the top surface of a substrate, a step (b) of removing the protein, a step (c) of conducting ion implantation from the top surface of the substrate, and a step (d) of forming nanoparticles including the ion implanted by the ion implantation as a raw material, inside of the substrate.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: May 9, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masahiro Nunoshita, Ichiro Yamashita, Shigeo Yoshii
  • Patent number: 7030168
    Abstract: Supercritical fluid-assisted deposition of materials on substrates, such as semiconductor substrates for integrated circuit device manufacture. The deposition is effected using a supercritical fluid-based composition containing the precursor(s) of the material to be deposited on the substrate surface. Such approach permits use of precursors that otherwise would be wholly unsuitable for deposition applications, as lacking requisite volatility and transport characteristics for vapor phase deposition processes.
    Type: Grant
    Filed: November 25, 2002
    Date of Patent: April 18, 2006
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Chongying Xu, Thomas H. Baum
  • Patent number: 7026172
    Abstract: A high density plasma chemical vapor deposition (HDP-CVD) process is used to deposit silicon dioxide in trenches of various widths. The thickness of the silicon dioxide filling both narrow and wide trenches is made more uniform by reducing an HDP-CVD etch to deposition ratio. The lowered etch to deposition ratio is achieved by lowering a ratio of oxygen to silane gas, by lowering the power of a high frequency bias signal, and by lowering the total gas flow rate.
    Type: Grant
    Filed: October 22, 2001
    Date of Patent: April 11, 2006
    Assignee: ProMOS Technologies, Inc.
    Inventors: Tai-Peng Lee, Chuck Jang
  • Patent number: 7022628
    Abstract: Disclosed herein is a method for forming quantum dots, comprising the steps of (a) depositing a metal thin layer onto a substrate, (b) coating a dielectric precursor onto the metal thin layer, and (c) stepwisely heating the resultant substrate; or a method for forming quantum dots, comprising the steps of (a) mixing a dielectric precursor diluted in a solvent and a metal powder and stirring the mixture, (b) coating the mixture onto a substrate, and (c) heating the resultant substrate. The method can easily control the size, density and uniformity of metal oxide quantum dots.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: April 4, 2006
    Assignee: Industry-University Cooperation Foundation, Hanyang University
    Inventors: Young-Ho Kim, Yoon Chung, Hyoung-Jun Jeon, Hwan-Pil Park, Chong-Seung Yoon
  • Patent number: 7018918
    Abstract: An inter-layer dielectric structure and method of making such structure are disclosed. A composite dielectric layer, initially comprising a porous matrix and a porogen, is formed. Subsequent to other processing treatments, the porogen is decomposed and removed from at least a portion of the porous matrix, leaving voids defined by the porous matrix in areas previously occupied by the porogen. The resultant structure has a desirably low k value as a result of the porosity and materials comprising the porous matrix and porogen. The composite dielectric layer may be used in concert with other dielectric layers of varying porosity, dimensions, and material properties to provide varied mechanical and electrical performance profiles.
    Type: Grant
    Filed: November 3, 2003
    Date of Patent: March 28, 2006
    Assignee: Intel Corporation
    Inventors: Grant M. Kloster, Kevin P. O'brien, Michael D. Goodner, Jihperng Leu, David H. Gracias, Lee D. Rockford, Peter K. Moon, Chris E. Barns
  • Patent number: 7001854
    Abstract: Chemical vapor deposition processes are employed to fill high aspect ratio (typically at least 3:1), narrow width (typically 1.5 microns or less and even sub 0.13 micron) gaps with significantly reduced incidence of voids or weak spots. This deposition process involves the use of hydrogen and a phosphorus dopant precursor as process gasses in the reactive mixture of a plasma containing CVD reactor. The process gas also includes dielectric forming precursor molecules such as silicon and oxygen containing molecules.
    Type: Grant
    Filed: October 11, 2002
    Date of Patent: February 21, 2006
    Assignee: Novellus Systems, Inc.
    Inventors: George D. Papasouliotis, Md Sazzadur Rahman, Pin Sheng Sun, Karen Prichard, Lauren Hall, Vikram Singh
  • Patent number: 6987063
    Abstract: A metal-containing semiconductor layer having a high dielectric constant is formed with a method that avoids inclusion of contaminant elements that reduce dielectric constant of metals. The metal-containing semiconductor layer is formed overlying a substrate in a chamber. A precursor is introduced to deposit at least a portion of the metal-containing semiconductor layer. The precursor contains one or more elements that, if allowed to deposit in the metal-containing layer, would become impurity elements. A reactant gas is used to purify the metal-containing layer by removing impurity elements from the metal-containing layer which were introduced into the chamber by the precursor.
    Type: Grant
    Filed: June 10, 2004
    Date of Patent: January 17, 2006
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Olubunmi O. Adetutu, James K. Schaeffer, Dina H. Triyoso
  • Patent number: 6979656
    Abstract: A method for fabricating a dielectric layer provides for use of a carbon source material separate from a halogen source material when forming a carbon and halogen doped silicate glass dielectric layer. The use of separate carbon and halogen source materials provides enhanced process latitude when forming the carbon and halogen doped silicate glass dielectric layer. Such a carbon and halogen doped silicate glass dielectric layer having a dielectric constant greater than about 3.0 is particularly useful as an intrinsic planarizing stop layer within a damascene method. A bilayer dielectric layer construction comprising a carbon and halogen doped silicate glass and a carbon doped silicate glass dielectric layer absent halogen doping is useful within a dual damascene method.
    Type: Grant
    Filed: December 4, 2003
    Date of Patent: December 27, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shiu-Ko Jangjian, Jun Wu, Chi-Wen Liu, Ying-Lung Wang, Yi-Lung Cheng, Michael Chang, Szu-An Wu
  • Patent number: 6962855
    Abstract: A material layer containing impurities that react with water molecules is formed on a substrate. The material layer is then heated under a pressure exceeding one atmosphere and in the presence of water vapor to generate pores in the material layer. The material layer may form the interlayer insulating layer of a semiconductor device.
    Type: Grant
    Filed: October 31, 2003
    Date of Patent: November 8, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Sung Kim, Young-Nam Kim, Hyun-Dam Jeong, Sun-Young Lee
  • Patent number: 6943121
    Abstract: An inter-layer dielectric structure and method of making such structure are disclosed. A composite dielectric layer, initially comprising a porous matrix and a porogen, is formed. Subsequent to other processing treatments, the porogen is decomposed and removed from at least a portion of the porous matrix, leaving voids defined by the porous matrix in areas previously occupied by the porogen. The resultant structure has a desirably low k value as a result of the porosity and materials comprising the porous matrix and porogen. The composite dielectric layer may be used in concert with other dielectric layers of varying porosity, dimensions, and material properties to provide varied mechanical and electrical performance profiles.
    Type: Grant
    Filed: November 21, 2002
    Date of Patent: September 13, 2005
    Assignee: Intel Corporation
    Inventors: Jihperng Leu, Grant M. Kloster, David H. Gracias, Lee D. Rockford, Peter K. Moon, Chris E. Barns
  • Patent number: 6943126
    Abstract: A method of forming a semiconductor structure comprises forming an etch-stop layer comprising nitride, on a stack. The stack is on a semiconductor substrate, and the stack comprises (i) a gate layer. The forming is by CVD with a gas comprising a first compound which is SixL2x, and a second compound comprising nitrogen and deuterium, L is an amino group, and X is 1 or 2.
    Type: Grant
    Filed: December 6, 2002
    Date of Patent: September 13, 2005
    Assignee: Cypress Semiconductor Corporation
    Inventors: Sundar Narayanan, Krishnaswamy Ramkumar
  • Patent number: 6943125
    Abstract: Provided is a method for manufacturing a semiconductor device including a plurality of different semiconductor elements with a transistor for fabricating the semiconductor device formed on a semiconductor substrate, an interlayer insulation film formed all over the upper part, and a hole trap site formed in the interlayer insulation film for preventing a mobile ion like H or moisture from penetrating, whereby it can be prevented that a leakage current increases abnormally where the voltage difference (Vgs) is lower than a threshold voltage.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: September 13, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ga Won Lee, Jae Hoon Choi, Jae Chul Om, Sung Wook Park, Jae Hee Lee
  • Patent number: 6939814
    Abstract: Enhanced carrier mobility in transistors of differing (e.g. complementary) conductivity types is achieved on a common chip by provision of two or more respective stressed layers, such as etch stop layers, overlying the transistors with stress being wholly or partially relieved in portions of the respective layers, preferably by implantations with heavy ions such as germanium, arsenic, xenon, indium, antimony, silicon, nitrogen oxygen or carbon in accordance with a block-out mask. The distribution and small size of individual areas of such stressed structures also prevents warping or curling of even very thin substrates.
    Type: Grant
    Filed: October 30, 2003
    Date of Patent: September 6, 2005
    Assignee: International Business Machines Corporation
    Inventors: Victor Chan, Haining Yang
  • Patent number: 6936533
    Abstract: A method of fabricating a semiconductor device having a low dielectric constant is disclosed. According to the method, a silicon oxycarbide layer is formed, treated with plasma, and patterned. The silicon oxycarbide layer is formed by a coating method or a CVD method such as a PECVD method. Treating the silicon oxycarbide layer with plasma is performed by supplying at least one gas selected from a group of He, H2, N2O, NH3, N2, O2 and Ar. It is desirable that plasma be applied at the silicon oxycarbide layer in a PECVD device by an in situ method after forming the silicon oxycarbide layer. In a case in which a capping layer is further stacked and patterned, it is desirable to treat with H2-plasma. Even in a case in which an interlayer insulation is formed of the silicon oxycarbide layer and a coating layer of an organic polymer group for a dual damascene process, it is desirable to perform the plasma treatment before forming the coating layer.
    Type: Grant
    Filed: November 27, 2001
    Date of Patent: August 30, 2005
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Jae-Hak Kim, Hong-Jae Shin, Soo-Geun Lee, Kyoung-Woo Lee
  • Patent number: 6933225
    Abstract: Thin films are formed by atomic layer deposition, whereby the composition of the film can be varied from monolayer to monolayer during cycles including alternating pulses of self-limiting chemistries. In the illustrated embodiments, varying amounts of impurity sources are introduced during the cyclical process. A graded gate dielectric is thereby provided, even for extremely thin layers. The gate dielectric as thin as 2 nm can be varied from pure silicon oxide to oxynitride to silicon nitride. Similarly, the gate dielectric can be varied from aluminum oxide to mixtures of aluminum oxide and a higher dielectric material (e.g., ZrO2) to pure high k material and back to aluminum oxide. In another embodiment, metal nitride (e.g., WN) is first formed as a barrier for lining dual damascene trenches and vias. During the alternating deposition process, copper can be introduced, e.g.
    Type: Grant
    Filed: September 23, 2002
    Date of Patent: August 23, 2005
    Assignee: ASM International N.V.
    Inventors: Christiaan J. Werkhoven, Ivo Raaijmakers, Suvi P. Haukka
  • Patent number: 6930058
    Abstract: A substrate is positioned within a deposition chamber. At least two gaseous precursors are fed to the chamber which collectively comprise silicon, an oxidizer comprising oxygen and dopant which become part of the deposited doped silicon dioxide. The feeding is over at least two different time periods and under conditions effective to deposit a doped silicon dioxide layer on the substrate. The time periods and conditions are characterized by some period of time when one of said gaseous precursors comprising said dopant is flowed to the chamber in the substantial absence of flowing any of said oxidizer precursor. In one implementation, the time periods and conditions are effective to at least initially deposit a greater quantity of doped silicon dioxide within at least some gaps on the substrate as compared to any doped silicon dioxide deposited atop substrate structure which define said gaps.
    Type: Grant
    Filed: April 21, 2003
    Date of Patent: August 16, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Chris W. Hill, Weimin Li, Gurtej S. Sandhu
  • Patent number: 6924240
    Abstract: A low dielectric constant material having excellent water resistance comprising a borazine skeleton structure represented by any one of the formulas (2) to (4): wherein R1 to R4 are independently a hydrogen atom, an alkyl group having 1 to 20 carbon atoms, an aryl group, a substituted aryl group, an alkenyl group, an alkylamino group, an alkoxyl group, a thioalkoxyl group, a carbonyl group, a silyl group, an alkylsilyl group, a phosphino group, an alkyiphosphino group, or a group of the formula: Si(OR7)(OR8)(OR9), provided that at least one of R1 to R4 is not a hydrogen atom.
    Type: Grant
    Filed: October 9, 2002
    Date of Patent: August 2, 2005
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Hideharu Nobutoki, Teruhiko Kumada, Toshiyuki Toyoshima, Naoki Yasuda, Suguru Nagae
  • Patent number: 6921703
    Abstract: Oxide growth of a gate dielectric layer that occurs between processes used in the fabrication of a gate dielectric structure can be reduced. The reduction in oxide growth can be achieved by maintaining the gate dielectric layer in an ambient effective to mitigate oxide growth of the gate dielectric layer between at least two sequential process steps used in the fabrication the gate dielectric structure. Maintaining the gate dielectric layer in an ambient effective to mitigate oxide growth also improves the uniformity of nitrogen implanted in the gate dielectric.
    Type: Grant
    Filed: May 13, 2003
    Date of Patent: July 26, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Malcolm J. Bevan, Haowen Bu, Hiroaki Niimi, Husam N. Alshareef
  • Patent number: 6913993
    Abstract: A chemical-mechanical polishing process for forming a metallic interconnect includes the steps of providing a semiconductor substrate having a first metallic line thereon, and then forming a dielectric layer over the substrate and the first metallic line. Next, a chemical-mechanical polishing method is used to polish the surface of the dielectric layer. Thereafter, a thin cap layer is formed over the polished dielectric layer. The thin cap layer having a thickness of between 1000-3000 ? can be, for example, a silicon dioxide layer, a phosphosilicate glass layer or a silicon-rich oxide layer. The method of forming the cap layer includes depositing silicon oxide using a chemical vapor deposition method with silicane (SiH4) or tetra-ethyl-ortho-silicate (TEOS) as the main reactive agent. Alternatively, the cap layer can be formed by depositing silicon nitride using a chemical vapor deposition method with silicane or silicon dichlorohydride (SiH2Cl2) as the main reactive agent.
    Type: Grant
    Filed: November 20, 2001
    Date of Patent: July 5, 2005
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Lin Wu, Meng-Jin Tsai
  • Patent number: 6914014
    Abstract: A method for depositing a low dielectric constant film on a substrate. The method includes depositing a low dielectric constant film comprising silicon, carbon, oxygen and hydrogen on the substrate disposed in a chemical vapor deposition chamber, introducing a gas mixture comprising a hydrogen-containing gas to the chemical vapor deposition chamber, forming a plasma of the gas mixture proximate the low dielectric constant film using a radio frequency power, and applying a direct current bias to at least one of the substrate or a gas distribution plate to cure the low dielectric constant film.
    Type: Grant
    Filed: January 13, 2003
    Date of Patent: July 5, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Lihua Li, Tzu-Fang Huang, Li-Qun Xia, Juan Carlos Rocha-Alvarez, Maosheng Zhao
  • Patent number: 6911403
    Abstract: A method for depositing an organosilicate layer on a substrate includes varying one or more processing conditions during a process sequence for depositing an organosilicate layer from a gas mixture comprising an organosilicon compound in the presence of RF power in a processing chamber. In one aspect, the distance between the substrate and a gas distribution manifold in the processing chamber is varied during processing. Preferably, the method of depositing an organosilicate layer minimizes plasma-induced damage to the substrate.
    Type: Grant
    Filed: August 20, 2003
    Date of Patent: June 28, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Lihua Li, Tsutomu Tanaka, Tzu-Fang Huang, Li-Qun Xia, Dian Sugiarto, Visweswaren Sivaramakrishnan, Peter Wai-Man Lee, Mario David Silvetti
  • Patent number: 6911378
    Abstract: A process for providing regions of substantially lower fluorine content in a fluorine-containing dielectric comprises exposing the fluorine-containing dielectric to a reactive species to form volatile byproducts.
    Type: Grant
    Filed: June 24, 2003
    Date of Patent: June 28, 2005
    Assignee: International Business Machines Corporation
    Inventors: Richard A. Conti, Kenneth Davis, John A. Fitzsimmons, David L. Rath, Daewon Yang
  • Patent number: 6905980
    Abstract: A semiconductor device is produced by forming a gate oxide film on a silicon substrate, forming a gate electrode on the gate oxide film, forming a nitrogen-containing oxide film on the silicon substrate and gate electrode in an N2O gas or an NO gas, forming a BPSG film on the nitrogen-containing oxide film, and carrying out a reflow process on the BPSG film in a water vapor atmosphere. During the reflow process, the nitrogen-containing oxide film that has no hydrogen atoms prevents the penetration and diffusion of oxygen and hydrogen atoms into the silicon substrate and gate electrode, thereby preventing the oxidization of the silicon substrate and gate electrode. No hydrogen atoms diffuse into the gate oxide film, and therefore, the reliability of the gate oxide film is secured.
    Type: Grant
    Filed: July 24, 2003
    Date of Patent: June 14, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Mikio Wakamiya
  • Patent number: 6903030
    Abstract: A supply system in a heat-treating apparatus for a semiconductor process has a combustor (12), heating unit (13), and gas distributor (14). The combustor (12) has a combustion chamber (59) disposed outside a process chamber (21). The combustor (12) generates water vapor by reaction of hydrogen gas and oxygen gas in the combustion chamber (59), and supplies it to the process chamber (21). The heating unit (13) has a heating chamber (61) disposed outside the process chamber (21). The heating unit (13) selectively heats a gas not passing through the combustion chamber (59) to a temperature not lower than an activating temperature of the gas, and supplies it to the process chamber (21). The gas distributor (14) selectively supplies the hydrogen gas and oxygen gas to the combustion chamber (59), and selectively supplies a reactive gas and inactive gas to the heating chamber (61).
    Type: Grant
    Filed: March 13, 2002
    Date of Patent: June 7, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Katsutoshi Ishii, Yutaka Takahashi, Harunari Hasegawa
  • Patent number: 6900118
    Abstract: A method of forming an interlayer dielectric (ILD) layer. A dielectric layer containing boron and phosphorous is formed overlying a substrate. A plasma treatment is subsequently performed on the dielectric layer using argon or nitrogen as a process gas. A capping layer is formed in-situ overlying the dielectric layer to serve as the ILD layer with the dielectric layer. A reflow process is subsequently performed on the ILD layer. A method for preventing formation of etching defects in a contact is also disclosed.
    Type: Grant
    Filed: December 4, 2003
    Date of Patent: May 31, 2005
    Assignee: Nanya Technology Corporation
    Inventors: Kaan-Lu Tzou, Yan-Hong Chen, Yi-Nan Chen, Chang-Rong Wu
  • Patent number: 6899763
    Abstract: An apparatus and method for depositing thin films. The apparatus generally comprises a process chamber having one or more walls and a lid and two heat exchangers. A first heat exchanger is coupled to the walls and a second heat exchanger is coupled to the lid. The two heat exchangers are configured to provide separate temperature control of the walls and lid. Separate control of the lid and wall temperatures inhibits reaction of the organosilane within the lid while optimizing a reaction within the chamber. The apparatus implements a method, in which a process gas comprising ozone and an organosilane are admitted through the into a processing while a substrate is heated to form a carbon-doped silicon oxide layer over the substrate. During deposition, the lid is kept cooler than the walls.
    Type: Grant
    Filed: November 8, 2002
    Date of Patent: May 31, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Himansu Pokharna, Li-Qun Xia, Tian H. Lim
  • Patent number: 6893983
    Abstract: A thermal activated SACVD method for depositing a phosphorus oxide layer onto a silicon oxide wafer comprising the steps of: loading an SACVD device with a silicon oxide wafer; depositing a phosphorus doped oxide (PSG) layer on the USG layer using pure oxygen and a phosphorus and silicon source; purging the SACVD device; and depositing a boron and phosphorus doped oxide (BPSG) layer on the PSG layer.
    Type: Grant
    Filed: September 13, 2001
    Date of Patent: May 17, 2005
    Assignee: TECH Semiconductor Singapore Pte Ltd.
    Inventors: Jian Sun, Hing Ho Au, Yew Hoong Phang
  • Patent number: 6893894
    Abstract: A method of manufacturing a compound semiconductor includes the steps of forming a layered structure of dielectric layers including oxygen or sulfur, and an inter layer formed between the dielectric layers, including rare earth transition metal that is highly reactive to oxygen and sulfur, and heating the layered structure. As a result of the chemical reaction and diffusion of elements, one can change a heated portion of the layered structure to a semiconductor or an insulator, depending on the temperature to which the portion is heated.
    Type: Grant
    Filed: March 4, 2003
    Date of Patent: May 17, 2005
    Assignees: Samsung Japan Corporation, National Institute of Advanced Industrial Science and Technology Laboratory for Advanced Optical Technology
    Inventors: Joo-Ho Kim, Junji Tominaga
  • Patent number: 6890867
    Abstract: A transistor gate is formed which comprises semiconductive material and conductive metal. Source/drain regions are formed proximate the transistor gate. In one implementation, the transistor gate and source/drain regions are exposed to a gas mixture comprising H2O, H2, a noble gas and N2 under conditions effective to oxidize outer surfaces of the source/drain regions. The N2 is present in the gas mixture at greater than 0% and less than or equal to 20.0% by volume. In one implementation, the transistor gate and source/drain regions are exposed to a gas mixture comprising H2O, H2, and an inert gas under conditions effective to oxidize outer surfaces of the source/drain regions. The conditions comprise a pressure of greater than room ambient pressure. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: February 25, 2003
    Date of Patent: May 10, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Don Carl Powell
  • Patent number: 6884739
    Abstract: A dielectric film containing lanthanide doped TiOx and a method of fabricating such a dielectric film produce a reliable gate dielectric having an equivalent oxide thickness thinner than attainable using SiO2. A dielectric film is formed by ion assisted electron beam evaporation of Ti, electron beam evaporation of a lanthanide selected from a group consisting of Nd, Tb, and Dy, and oxidation of the evaporated Ti/lanthanide film in a Kr/oxygen plasma. The growth rate is controlled to provide a dielectric film having a lanthanide content ranging from about five to about forty percent of the dielectric film. These dielectric films containing lanthanide doped TiOx are amorphous and thermodynamically stable such that the lanthanide doped TiOx will have minimal reactions with a silicon substrate or other structures during processing.
    Type: Grant
    Filed: August 15, 2002
    Date of Patent: April 26, 2005
    Assignee: Micron Technology Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6878415
    Abstract: A method is provided for forming a thin film layer of a substrate. The method includes the steps of forming a thin surface layer containing a dopant material on the substrate, and short-time thermal processing of the doped surface layer with processing parameters selected to produce a reaction between the surface layer and the dopant material to form a dielectric film, a metal film or a silicide film. In one embodiment, short-time thermal processing is implemented by flash rapid thermal processing of the doped surface layer. In another embodiment, short-time thermal processing is implemented by sub-melt laser processing of the doped surface layer. The process may be used for forming dielectric layers having a thickness of 50 angstroms or less.
    Type: Grant
    Filed: April 15, 2002
    Date of Patent: April 12, 2005
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Daniel F. Downey
  • Patent number: 6864109
    Abstract: A method of determining a composition of an integrated circuit feature, including collecting intensity data representative of spectral wavelengths of radiant energy generated by a plasma during plasma nitridation of an integrated circuit feature disposed on a substrate, analysing the in intensity data to determine a peak intensity at one of the wavelengths, and determining a component concentration of the feature based on the peak intensity.
    Type: Grant
    Filed: July 23, 2003
    Date of Patent: March 8, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Vincent S. Chang, Chi-Chun Chen, Chun-Lin Wu, Tze-Liang Lee, Shih-Chang Chen
  • Patent number: 6858444
    Abstract: Integrated memory circuits, key components in thousands of electronic and computer products, have recently been made using ferroelectric memory transistors, which offer faster write cycles and lower power requirements than over conventional floating-gate transistors. One problem that hinders the continued down-scaling of conventional ferroelectric memory transistors is the vulnerability of their gate insulations to failure at thinner dimensions. Accordingly, the inventors devised unique ferroelectric gate structures, one of which includes a high-integrity silicon-oxide insulative layer, a doped titanium-oxide layer, a weak-ferroelectric layer, and a control gate. The doped titanium-oxide layer replaces a metal layer in the conventional ferroelectric gate structure, and the weak-ferroelectric layer replaces a conventional ferroelectric layer.
    Type: Grant
    Filed: June 20, 2003
    Date of Patent: February 22, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 6855484
    Abstract: A method of forming a silicon carbide layer for use in integrated circuits is provided. The silicon carbide layer is formed by reacting a gas mixture comprising a silicon source, a carbon source, and a nitrogen source in the presence of an electric field. The as-deposited silicon carbide layer incorporates nitrogen therein from the nitrogen source.
    Type: Grant
    Filed: February 25, 2003
    Date of Patent: February 15, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Francimar Campana, Srinivas Nemani, Michael Chapin, Shankar Venkataraman
  • Patent number: 6855645
    Abstract: A low-k precursor reactant compound containing silicon and carbon atoms is flowed into a CVD reaction chamber. High-frequency radio-frequency power is applied to form a plasma. Preferably, the reaction chamber is part of a dual-frequency PECVD apparatus, and low-frequency radio-frequency power is applied to the reaction chamber. Reactive components formed in the plasma react to form low-dielectric-constant silicon carbide (SiC) on a substrate surface. A low-k precursor is characterized by one of: a silicon atom and a carbon—carbon triple bond; a silicon atom and a carbon—carbon double bond; a silicon—silicon bond; or a silicon atom and a tertiary carbon group.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: February 15, 2005
    Assignee: Novellus Systems, Inc.
    Inventors: Xingyuan Tang, Haiying Fu
  • Patent number: 6852649
    Abstract: A method of forming an essentially uniform doped insulating layer is disclosed. Variations in a substrate temperature that may result in a dopant gradient within a doped insulating layer can be compensated for by varying a dopant supply rate in a deposition process. One particular embodiment discloses a method of forming a high density plasma phosphosilicate glass having a phosphorous concentration of 8% or greater by weight that varies by no more than about 1% by weight throughout.
    Type: Grant
    Filed: March 30, 2001
    Date of Patent: February 8, 2005
    Assignee: Cypress Semiconductor Corporation
    Inventors: Prashant B. Phatak, Frederick G. Eisenmann, III, Michal Fastow