Patents Assigned to ASML Netherlands B.V.
  • Publication number: 20240061314
    Abstract: A supercontinuum radiation source including a modulator being operable to modulate pump laser radiation including a train of radiation pulses to provide modulated pump laser radiation, the modulation being such to selectively provide a burst of the pulses; and a hollow-core photonic crystal fiber being operable to receive the modulated pump laser radiation and excite a working medium contained within the hollow-core photonic crystal fiber so as to generate supercontinuum radiation.
    Type: Application
    Filed: September 27, 2023
    Publication date: February 22, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Sebastian Thomas BAUERSCHMIDT, Peter Maximilian GÖTZ, Patrick Sebastian UEBEL
  • Publication number: 20240061353
    Abstract: A method for determining a focus actuation profile for one or more actuators of a lithographic exposure apparatus in control of a lithographic exposure process for exposure of an exposure area including at least two topographical levels. The method includes determining a continuous single focus actuation profile for the at least two topographical levels from an objective function including a per-level component operable to optimize a focus metric per topographical level for each of the at least two topographical levels.
    Type: Application
    Filed: December 24, 2021
    Publication date: February 22, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Simon Hendrik Celine VAN GORP, Stephan VAN REENEN
  • Publication number: 20240061347
    Abstract: A modular autoencoder model is described. The modular autoencoder model comprises input models configured to process one or more inputs to a first level of dimensionality suitable for combination with other inputs; a common model configured to: reduce a dimensionality of combined processed inputs to generate low dimensional data in a latent space; and expand the low dimensional data in the latent space into one or more expanded versions of the one or more inputs suitable for generating one or more different outputs; output models configured to use the one or more expanded versions of the one or more inputs to generate the one or more different outputs, the one or more different outputs being approximations of the one or more inputs; and a prediction model configured to estimate one or more parameters based on the low dimensional data in the latent space.
    Type: Application
    Filed: December 20, 2021
    Publication date: February 22, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Alexandru ONOSE, Bart Jacobus Martinus TIEMERSMA, Nick VERHEUL, Remco DIRKS
  • Patent number: 11906907
    Abstract: An apparatus for determining a condition associated with a pellicle for use in a lithographic apparatus, the apparatus including a sensor, wherein the sensor is configured to measure a property associated with the pellicle, the property being indicative of the pellicle condition.
    Type: Grant
    Filed: November 27, 2018
    Date of Patent: February 20, 2024
    Assignees: ASML NETHERLANDS B.V., ASML HOLDING N.V.
    Inventors: Derk Servatius Gertruda Brouns, Joshua Adams, Aage Bendiksen, Richard Jacobs, Andrew Judge, Veera Venkata Narasimha Narendra Phani Kottapalli, Joseph Harry Lyons, Theodorus Marinus Modderman, Manish Ranjan, Marcus Adrianus Van De Kerkhof, Xugang Xiong
  • Patent number: 11906906
    Abstract: Disclosed is a method of metrology comprising using measurement illumination to measure a target, said measurement illumination comprising a plurality of illumination conditions. The method comprises performing a first measurement capture with a first subset of said plurality of illumination conditions, e.g., each comprising a positive weighting, to obtain a first parameter value and performing a second measurement capture with a second subset of said plurality of illumination conditions, e.g., each comprising a negative weighting, to obtain a second parameter value. An optimized parameter value is determined as a weighted combination of at least the first parameter value and the second parameter value.
    Type: Grant
    Filed: December 29, 2021
    Date of Patent: February 20, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Sebastianus Adrianus Goorden, Simon Reinald Huisman, Arjan Johannes Anton Beukman
  • Patent number: 11908656
    Abstract: A stage apparatus for a particle-beam apparatus is disclosed. A particle beam apparatus may comprise a conductive object and an object table, the object table being configured to support an object. The object table comprises a table body and a conductive coating, the conductive coating being provided on at least a portion of a surface of the table body. The conductive object is disposed proximate to the conductive coating and the table body is provided with a feature proximate to an edge portion of the conductive coating. Said feature is arranged so as to reduce an electric field strength in the vicinity of the edge portion of the conductive coating when a voltage is applied to both the conductive object and the conductive coating.
    Type: Grant
    Filed: October 8, 2021
    Date of Patent: February 20, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Han Willem Hendrik Severt, Jan-Gerard Cornelis Van Der Toorn, Ronald Van Der Wilk, Allard Eelco Kooiker
  • Patent number: 11908591
    Abstract: A combined enrichment and radioisotope production apparatus comprising an electron source arranged to provide an electron beam, the electron source comprising an electron injector and an accelerator, an undulator configured to generate a radiation beam using the electron beam, a molecular stream generator configured to provide a stream of molecules which is intersected by the radiation beam, a receptacle configured to receive molecules or ions selectively received from the stream of molecules, and a target support structure configured to hold a target upon which the electron beam is incident in use.
    Type: Grant
    Filed: September 13, 2019
    Date of Patent: February 20, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Pieter Willem Herman De Jager, Antonius Theodorus Anna Maria Derksen
  • Publication number: 20240054669
    Abstract: A system, method, and apparatus for determining three-dimensional (3D) information of a structure of a patterned substrate. The 3D information can be determined using one or more models configured to generate 3D information (e.g., depth information) using only a single image of a patterned substrate. In a method, the model is trained by obtaining a pair of stereo images of a structure of a patterned substrate. The model generates, using a first image of the pair of stereo images as input, disparity data between the first image and a second image, the disparity data being indicative of depth information associated with the first image. The disparity data is combined with the second image to generate a reconstructed image corresponding to the first image. Further, one or more model parameters are adjusted based on the disparity data, the reconstructed image, and the first image.
    Type: Application
    Filed: November 24, 2021
    Publication date: February 15, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Tim HOUBEN, Thomas Jarik HUISMAN, Maxim PISARENCO, Scott Anderson MIDDLEBROOKS, Chrysostomos BATISTAKIS, Yu CAO
  • Publication number: 20240053532
    Abstract: A radiation source for generating broadband radiation, the source including an input assembly for providing input radiation and a hollow-core photonic crystal fiber configured to receive and confine the input radiation coupled into the fiber for generating broadband radiation through spectral broadening, wherein the input assembly includes a pump source configured to provide input radiation having an average power of at least 50 W, and wherein the hollow core photonic crystal fiber includes: a hollow core having a diameter of at least 35 ?m; and a cladding region including a plurality of anti-resonant structures surrounding the hollow core, the anti-resonant structures including a wall portion having a wall thickness smaller than half the wavelength of the lower end of a wavelength range of the broadband radiation.
    Type: Application
    Filed: December 20, 2021
    Publication date: February 15, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Patrick Sebastian UEBEL, Sebastian Thomas BAUERSCHMIDT, Peter Maximilian GÖTZ
  • Publication number: 20240055221
    Abstract: An improved readout circuit for a charged particle detector and a method for operating the readout circuit are disclosed. An improved circuit comprises an amplifier configured to receive a signal representing an output of a sensor layer and comprising a first input terminal and an output terminal, a capacitor connected between the first input terminal and the output terminal, and a resistor connected in parallel with the capacitor between the first input terminal and the output terminal. The circuit can be configured to operate in a first mode and a second mode. The capacitor can be adjustable using a capacitance value of the capacitor to enable control of a gain of the circuit operating in the first mode and control of a bandwidth of the circuit operating in the second mode.
    Type: Application
    Filed: December 13, 2021
    Publication date: February 15, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Jan Louis SUNDERMEYER, Leonhard Martin KLEIN, Matthias OBERST, Harald Gert Helmut NEUBAUER
  • Publication number: 20240055219
    Abstract: Apparatus and methods for directing a beam of primary electrons along a primary beam path onto a sample are disclosed. In one arrangement, a beam separator diverts away from the primary beam path a beam of secondary electrons emitted from the sample along the primary beam path. A dispersion device is upbeam from the beam separator. The dispersion device compensates for dispersion induced in the primary beam by the beam separator. One or more common power supplies drive both the beam separator and the dispersion device.
    Type: Application
    Filed: November 29, 2021
    Publication date: February 15, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Mans Johan Bertil OSTERBERG, Kenichi KANAI
  • Patent number: 11899374
    Abstract: A method for determining electromagnetic fields associated with a mask model of a patterning process. The method includes obtaining a mask stack region of interest and an interaction order corresponding to the mask stack region of interest. The mask stack region of interest is divided into sub regions. The mask stack region of interest has one or more characteristics associated with propagation of electromagnetic waves through the mask stack region of interest. The method includes generating one or more electromagnetic field determination expressions based on the Maxwell Equations and the Quantum Schrodinger Equation. The method includes determining an electromagnetic field associated with the mask stack region of interest based on the sub regions of the mask stack region of interest and the characteristics associated with the propagation of electromagnetic waves through the mask stack region of interest, using the one or more electromagnetic field determination expressions.
    Type: Grant
    Filed: April 16, 2019
    Date of Patent: February 13, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Xingyue Peng, Jingjing Liu
  • Patent number: 11898601
    Abstract: A support table for a lithographic apparatus, a method of loading a substrate, a lithographic apparatus and a method for manufacturing a device using a lithographic apparatus. In one arrangement, a support table is configured to support a substrate. The support table has a base surface. The base surface faces a surface of the substrate when the substrate is supported by the support table. One or more gas cushion members are provided above the base surface. Each of the gas cushion members includes a recess. The recess is shaped and configured such that a lowering of the substrate into a position on the support table at which the substrate is supported by the support table causes a localised build-up of pressure within the recess. The localized build-up of pressure provides a localised gas cushioning effect during the lowering of the substrate.
    Type: Grant
    Filed: August 20, 2021
    Date of Patent: February 13, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Siegfried Alexander Tromp, Antonie Hendrik Verweij, Abraham Alexander Soethoudt, Jan Pieter Van De Poel, Mark Constant Johannes Baggen
  • Publication number: 20240045346
    Abstract: A reticle stage cleaning apparatus for a reticle stage in a lithographic apparatus includes a substrate having a frontside and a backside opposite the frontside and a conductive layer disposed on the frontside of the substrate. The conductive layer is configured to contact the reticle stage to dissipate charge on the reticle stage and to remove particles on the reticle stage via an electrostatic field generated between the conductive layer and the reticle stage. The substrate can include a plurality of grooves and the conductive layer can be disposed on the frontside of the substrate and on a bottom surface of the plurality of grooves. The reticle stage cleaning apparatus can include a second conductive layer configured to remove particles on the reticle stage via a second electrostatic field and be disposed atop the conductive layer in the bottom surface of the plurality of grooves.
    Type: Application
    Filed: December 9, 2021
    Publication date: February 8, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Pedro Julian RIZO DIAGO, George Grigorievich VOEVODKIN, Earl William EBERT
  • Publication number: 20240044824
    Abstract: The embodiments of the present disclosure provide various techniques for detecting backscatter charged particles, including accelerating charged particle sub-beams along sub-beam paths to a sample, repelling secondary charged particles from detector arrays, and providing devices and detectors which can switch between modes for primarily detecting charged particles and modes for primarily detecting secondary particles.
    Type: Application
    Filed: October 16, 2023
    Publication date: February 8, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Marco Jan-Jaco WIELAND, Albertus Victor Gerardus MANGNUS
  • Publication number: 20240047173
    Abstract: A monolithic detector may be used in a charged particle beam apparatus. The detector may include a plurality of sensing elements formed on a first side of a semiconductor substrate, each of the sensing elements configured to receive charged particles emitted from a sample and to generate carriers in proportion to a first property of a received charged particle, and a plurality of signal processing components formed on a second side of the semiconductor substrate, the plurality of signal processing components being part of a system configured to determine a value that represents a second property of the received charged particle. The substrate may have a thickness in a range from about 10 to 30 ?m. The substrate may include a region configured to insulate the plurality of sensing elements formed on the first side from the plurality of signal processing components formed on the second side.
    Type: Application
    Filed: December 10, 2021
    Publication date: February 8, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Matthias OBERST, Harald Gert Helmut NEUBAUER, Thomas SCHWEIGER
  • Publication number: 20240045341
    Abstract: A method for improving a lithographic process of imaging a portion of a design layout onto a substrate using a lithographic apparatus. The method includes computing a multi-variable cost function that is a function of: (i) a plurality of design variables that affect characteristics of the lithographic process and (ii) a radiation bandwidth of a radiation source of the lithographic apparatus; and reconfiguring one or more of the characteristics (e.g., EPE, image contrast, resist, etc.) of the lithographic process by adjusting one or more of the design variables (e.g., source, mask layout, bandwidth, etc.) until a termination condition is satisfied. The termination condition includes a speckle characteristic (e.g., a speckle contrast) maintained within a speckle specification associated with the radiation source and also maintaining an image contrast associated with the lithographic process within a desired range. The speckle characteristic being a function of the radiation bandwidth.
    Type: Application
    Filed: December 9, 2021
    Publication date: February 8, 2024
    Applicants: ASML NETHERLANDS B.V., Cymer, LLC
    Inventors: Willard Earl CONLEY, Duan-Fu Stephen HSU, Joshua Jon THORNES, Johannes Jacobus Matheus BASELMANS
  • Publication number: 20240045340
    Abstract: A method for controlling a process of manufacturing semiconductor devices, the method including: obtaining a first control grid associated with a first lithographic apparatus used for a first patterning process for patterning a first substrate; obtaining a second control grid associated with a second lithographic apparatus used for a second patterning process for patterning a second substrate; based on the first control grid and second control grid, determining a common control grid definition for a bonding step for bonding the first substrate and second substrate to obtain a bonded substrate; obtaining bonded substrate metrology data including data relating to metrology performed on the bonded substrate; and determining a correction for performance of the bonding step based on the bonded substrate metrology data, the determining a correction including determining a co-optimized correction for the bonding step and for the first patterning process and/or second patterning process.
    Type: Application
    Filed: September 6, 2023
    Publication date: February 8, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Peter TEN BERGE, Steven Erik STEEN, Pieter Gerardus Jacobus SMORENBERG, Khalid ELBATTAY
  • Publication number: 20240044820
    Abstract: Disclosed herein is an apparatus comprising: a source configured to emit charged particles, an optical system and a stage; wherein the stage is configured to support a sample thereon and configured to move the sample by a first distance in a first direction; wherein the optical system is configured to form probe spots on the sample with the charged particles; wherein the optical system is configured to move the probe spots by the first distance in the first direction and by a second distance in a second direction, simultaneously, while the stage moves the sample by the first distance in the first direction; wherein the optical system is configured to move the probe spots by the first distance less a width of one of the probe spots in an opposite direction of the first direction, after the stage moves the sample by the first distance in the first direction.
    Type: Application
    Filed: October 10, 2023
    Publication date: February 8, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Kuo-Feng TSENG, Zhonghua DONG, Yixiang WANG, Zhong-wei CHEN
  • Publication number: 20240046022
    Abstract: A method for sample scheme generation includes obtaining measurement data associated with a set of locations; analyzing the measurement data to determine statistically different groups of the locations; and configuring a sample scheme generation algorithm based on the statistically different groups. A method includes obtaining a constraint and/or a plurality of key performance indicators associated with a sample scheme across one or more substrates; and using the constraint and/or plurality of key performance indicators in a sample scheme generation algorithm including a multi-objective genetic algorithm. The locations may define one or more regions spanning a plurality of fields across one or more substrates and the analyzing the measurement data may include stacking across the spanned plurality of fields using different respective sub-sampling.
    Type: Application
    Filed: August 30, 2023
    Publication date: February 8, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventor: Pierluigi FRISCO