Patents Assigned to ASML Netherlands
  • Patent number: 11940608
    Abstract: A dark field metrology device includes an objective lens arrangement and a zeroth order block to block zeroth order radiation. The objective lens arrangement directs illumination onto a specimen to be measured and collects scattered radiation from the specimen, the scattered radiation including zeroth order radiation and higher order diffracted radiation. The dark field metrology device is operable to perform an illumination scan to scan illumination over at least two different subsets of the maximum range of illumination angles; and simultaneously perform a detection scan which scans the zeroth order block and/or the scattered radiation with respect to each other over a corresponding subset of the maximum range of detection angles during at least part of the illumination scan.
    Type: Grant
    Filed: April 2, 2020
    Date of Patent: March 26, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventor: Sebastianus Adrianus Goorden
  • Patent number: 11942340
    Abstract: An improved particle beam inspection apparatus, and more particularly, a particle beam inspection apparatus including an improved load lock unit is disclosed. An improved load lock system may comprise a plurality of supporting structures configured to support a wafer and a conditioning plate including a heat transfer element configured to adjust a temperature of the wafer. The load lock system may further comprise a gas vent configured to provide a gas between the conditioning plate and the wafer and a controller configured to assist with the control of the heat transfer element.
    Type: Grant
    Filed: July 6, 2022
    Date of Patent: March 26, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Jeroen Gerard Gosen, Te-Yu Chen, Dennis Herman Caspar Van Banning, Edwin Cornelis Kadijk, Martijn Petrus Christianus Van Heumen, Erheng Wang, Johannes Andreas Henricus Maria Jacobs
  • Patent number: 11940264
    Abstract: A method for calibrating a mirror of an interferometer system configured to measure a position of an object using two interferometers of the interferometer system that are arranged at opposite sides of the object and configured to measure the position of the object in the same X-direction, wherein two sets of measurements are obtained for different rotational orientations about an axis perpendicular to the X-direction to determine a shape of the mirror. There is also provided a position measuring method in which the obtained shape of the mirror is used to adjust measurements in the X-direction, a lithographic apparatus and a device manufacturing method making use of such a lithographic apparatus.
    Type: Grant
    Filed: June 5, 2020
    Date of Patent: March 26, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Johannes Mathias Theodorus Antonius Adriaens, Carolus Johannes Catharina Schoormans, Luuk Johannes Helena Seelen
  • Patent number: 11942304
    Abstract: Systems and methods for implementing a detector array are disclosed. According to certain embodiments, a substrate comprises a plurality of sensing elements including a first element and a second element, and a switching region therebetween configured to connect the first element and the second element. The switching region may be controlled based on signals generated in response to the sensing elements receiving electrons with a predetermined amount of energy.
    Type: Grant
    Filed: July 1, 2022
    Date of Patent: March 26, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Yongxin Wang, Zhonghua Dong, Rui-Ling Lai
  • Patent number: 11942302
    Abstract: Apparatuses and methods for charged-particle detection may include a deflector system configured to direct charged-particle pulses, a detector having a detection element configured to detect the charged-particle pulses, and a controller having a circuitry configured to control the deflector system to direct a first and second charged-particle pulses to the detection element; obtain first and second timestamps associated with when the first charged-particle pulse is directed by the deflector system and detected by the detection element, respectively, and third and fourth timestamps associated with when the second charged-particle pulse is directed by the deflector system and detected by the detection element, respectively; and identify a first and second exiting beams based on the first and second timestamps, and the third and fourth timestamps, respectively.
    Type: Grant
    Filed: December 17, 2019
    Date of Patent: March 26, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Arno Jan Bleeker, Pieter Willem Herman De Jager, Maikel Robert Goosen, Erwin Paul Smakman, Albertus Victor Gerardus Mangnus, Yan Ren, Adam Lassise
  • Publication number: 20240094647
    Abstract: A reticle conditioning system includes: a support structure to support a reticle; a gas supply module to provide a flow of gas adjacent to the reticle; and a biasing module to control an electrical potential of the reticle. The biasing module includes a first electrode, a second electrode and a voltage supply. The first and second electrodes are each spaced apart from and facing the reticle, when the reticle is supported by the support structure, so as to at least partially overlap with the reticle. The voltage supply is arranged to maintain the first electrode at a positive voltage, and the second electrode at a negative voltage, these voltages being such that the voltage of the reticle is negative. The second electrode is disposed such that, when the reticle is supported by the support structure, it does not overlap an image forming portion of the reticle.
    Type: Application
    Filed: September 14, 2020
    Publication date: March 21, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Marcus Adrianus VAN DE KERKHOF, Ferdinandus Martinus Jozef Henricus VAN DE WETERING, Andrei Mikhailovich YAKUNIN
  • Publication number: 20240094640
    Abstract: A method for determining a spatially varying process offset for a lithographic process, the spatially varying process offset (MTD) varying over a substrate subject to the lithographic process to form one or more structures thereon. The method includes obtaining a trained model (MOD), having been trained to predict first metrology data based on second metrology data, wherein the first metrology data (OV) is spatially varying metrology data which relates to a first type of measurement of the one or more structures being a measure of yield and the second metrology data (PB) is spatially varying metrology data which relates to a second type of measurement of the one or more structures and correlates with the first metrology data; and using the model to obtain the spatially varying process offset (MTD).
    Type: Application
    Filed: January 21, 2022
    Publication date: March 21, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Thiago DOS SANTOS GUZELLA, Masashi ISHIBASHI, NoriaKi SANNO, Vahid BASTANI, Reza SAHRAEIAN, Putra SAPUTRA
  • Publication number: 20240095437
    Abstract: A method for generating a mask pattern to be employed in a patterning process. The method including obtaining (i) a first feature patch including a first polygon portion of an initial mask pattern, and (ii) a second feature patch including a second polygon portion of the initial mask pattern; adjusting the second polygon portion at a patch boundary between the first feature patch and the second feature patch such that a difference between the first polygon portion and the second polygon portion at the patch boundary is reduced; and combining the first polygon portion and the adjusted second polygon portion at the patch boundary to form the mask pattern.
    Type: Application
    Filed: October 23, 2023
    Publication date: March 21, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Quan ZHANG, Yong-Ju Cho, Zhangnan Zhu, Boyang Huang, Been-Der Chen
  • Publication number: 20240094643
    Abstract: A method for measuring a parameter of interest from a target and associated apparatuses. The method includes obtaining measurement acquisition data relating to measurement of the target and finite-size effect correction data and/or a trained model operable to correct for at least finite-size effects in the measurement acquisition data. At least finite-size effects in the measurement acquisition data is corrected for using the finite-size effect correction data and/or the trained model to obtain corrected measurement data and/or obtain a parameter of interest; and where the correcting does not directly determine the parameter of interest, determining the parameter of interest from the corrected measurement data.
    Type: Application
    Filed: December 20, 2021
    Publication date: March 21, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Filippo ALPEGGIANI, Harm Jan Willem BELT, Sebatianus Adrianus GOORDEN, Irwan Dani SETIJA, Simon Reinald HUISMAN, Henricus Petrus Maria PELLEMANS
  • Publication number: 20240094641
    Abstract: The system includes a radiation source, a diffractive element, an optical system, a detector, and a processor. The radiation source generates radiation. The diffractive element diffracts the radiation to generate a first beam and a second beam. The first beam includes a first non-zero diffraction order and the second beam includes a second non-zero diffraction order that is different from the first non-zero diffraction order. The optical system receives a first scattered beam and a second scattered radiation beam from a target structure and directs the first scattered beam and the second scattered beam towards a detector. The detector generates a detection signal. The processor analyzes the detection signal to determine a target structure property based on at least the detection signal. The first beam is attenuated with respect to the second beam or the first scattered beam is purposely attenuated with respect to the second scattered beam.
    Type: Application
    Filed: December 2, 2021
    Publication date: March 21, 2024
    Applicants: ASML Holding N.V., ASML Netherlands B.V.
    Inventors: Justin Lloyd KREUZER, Simon Reinald HUISMAN, Sebastianus Adrianus GOORDEN, Filippo ALPEGGIANI
  • Publication number: 20240096589
    Abstract: A detector may be provided for a charged particle apparatus comprising: a sensing element including a diode; and a circuit configured to detect an electron event caused by an electron impacting the sensing element, wherein the circuit comprises a voltage monitoring device and a reset device, wherein the reset device is configured to regularly reset the diode by setting a voltage across the diode to a predetermined value, and wherein the voltage monitoring device is connected to the diode to monitor a voltage across the diode in between resets.
    Type: Application
    Filed: October 26, 2021
    Publication date: March 21, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Stoyan NIHTIANOV, Kenichi KANAI, Padmakumar RAMACHANDRA RAO
  • Publication number: 20240085809
    Abstract: A reticle transport system having a magnetically levitated transportation stage is disclosed. Such a system may be suitable for use in vacuum environments, for example, ultra-clean vacuum environments.
    Type: Application
    Filed: November 21, 2023
    Publication date: March 14, 2024
    Applicants: Massachusetts Institute of Technology, ASML Netherlands B.V.
    Inventors: Lei ZHOU, David L. TRUMPER, Ruvinda GUNAWARDANA
  • Publication number: 20240085379
    Abstract: A metrology apparatus for determining one or more parameters of a structure fabricated in or on a semiconductor substrate. The apparatus comprises a transducer array comprising a plurality of transducers positioned in a plane. The plurality of transducers comprises at least one transmitter transducer for emitting acoustic radiation in a frequency range from 1 GHz to 100 GHz towards the structure, and at least one receiver transducer for receiving acoustic radiation reflected and/or diffracted from the structure.
    Type: Application
    Filed: December 15, 2021
    Publication date: March 14, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Mustafa Ümit ARABUL, Zili ZHOU, Willem Marie,Julia,Marcel COENE, Coen Adrianus VERSCHUREN, Paul, Louis,Maria Joseph VAN NEER, Daniele PIRAS, Sandra BLAAK, Wouter Dick KOEK, Robert Wilhelm WILLEKERS
  • Publication number: 20240087844
    Abstract: The disclosed embodiments provide a various techniques for detecting secondary charged particles and backscatter charged particles, including accelerating charged particle sub-beams along sub-beam paths to a sample, repelling secondary charged particles from detector arrays, using mirror detector arrays, using multiple detector arrays, and providing devices and detectors which can switch between modes for primarily detecting charged particles and modes for primarily detecting secondary particles.
    Type: Application
    Filed: November 17, 2023
    Publication date: March 14, 2024
    Applicant: ASML Netherlands B.V.
    Inventor: Albertus Victor Gerardus MANGNUS
  • Publication number: 20240085796
    Abstract: An extreme ultraviolet radiation (EUV) source, including: a vessel having an inner vessel wall and an intermediate focus (IF) region; an EUV collector disposed inside the vessel, the EUV collector including a reflective surface configured to reflect EUV radiation toward the intermediate focus region, the reflective surface configured to directionally face the IF region of the vessel; a showerhead disposed along at least a portion of the inner vessel wall, the showerhead including a plurality of nozzles configured to introduce gas into the vessel; and one or more exhausts configured to remove gas introduced into the vessel, the one or more exhausts being oriented along at least a portion of the inner vessel wall so that the gas is caused to flow away from the EUV collector.
    Type: Application
    Filed: October 16, 2023
    Publication date: March 14, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Dzmitry LABETSKI, Christianus Wilhelmus Johannes BERENDSEN, Rui Miguel DUARTE RODRIGUES NUNES, Alexander Igorevich ERSHOV, Kornelis Frits FEENSTRA, Igor Vladimirovich FOMENKOV, Klaus Martin HUMMLER, Arun JOHNKADAKSHAM, Matthias KRAUSHAAR, Andrew David LAFORGE, Marc Guy LANGLOIS, Maksim LOGINOV, Yue MA, Seyedmohammad MOJAB, Kerim NADIR, Alexander SHATALOV, John Tom STEWART, Henricus Gerardus TEGENBOSCH, Chunguang XIA
  • Publication number: 20240087835
    Abstract: The present disclosure provides a charged particle optical device for a charged particle system. The device projects an array of charged particle beams towards a sample. The device comprises a control lens array to control a parameter of the array of beams; and an objective lens array to project the array of beams onto the sample, the objective lens array being down beam of the control lens. The objective lens array comprises: an upper electrode; and a lower electrode arrangement that comprises an up-beam electrode and a down-beam electrode. The device is configured to apply an upper potential to the upper electrode, an up-beam potential to the up-beam electrode and a down-beam potential to the down-beam electrode. The potentials are controlled to control the landing energy of the beams on the sample and. to maintain focus of the beams on the sample at the landing energies.
    Type: Application
    Filed: November 22, 2023
    Publication date: March 14, 2024
    Applicant: ASML Netherlands B.V.
    Inventor: Albertus Victor Gerardus MANGNUS
  • Publication number: 20240087842
    Abstract: A data processing device for detecting defects in sample images generated by a charged particle assessment system, the device comprising: an input module, a filter module, a reference image module and a comparator. The input module is configured to receive a sample image from the charged particle assessment system. The filter module is configured to apply a filter to the sample image to generate a filtered sample image. The reference image module is configured to provide a reference image based on one or more source images. The comparator is configured to compare the filtered sample image to the reference image so as to detect defects in the sample image.
    Type: Application
    Filed: November 21, 2023
    Publication date: March 14, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Marco Jan-Jaco WIELAND, Vincent Sylvester KUIPER
  • Patent number: 11927891
    Abstract: A sensor is disclosed, wherein a transducer generates acoustic waves, which are received by a lens assembly. The lens assembly transmits and directs at least a part of the acoustic waves to a target. The lens assembly then receives at least a part of acoustic waves, after interaction with the target. The sensor further comprises an optical detector that comprises at least one optically reflective member located at a surface of the lens assembly, which surface is arranged opposite to a surface of the lens assembly which faces a focal plane of the lens assembly, wherein the at least one optically reflective member is mechanically displaced in response to the acoustic waves, which are received and transmitted by the lens assembly.
    Type: Grant
    Filed: December 20, 2018
    Date of Patent: March 12, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Nitesh Pandey, Duygu Akbulut, Alessandro Polo, Sebastianus Adrianus Goorden
  • Patent number: 11929232
    Abstract: Systems and methods for implementing charged particle flooding in a charged particle beam apparatus are disclosed. According to certain embodiments, a charged particle beam system includes a charged particle source and a controller which controls the charged particle beam system to emit a charged particle beam in a first mode where the beam is defocused and a second mode where the beam is focused on a surface of a sample.
    Type: Grant
    Filed: September 18, 2020
    Date of Patent: March 12, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Frank Nan Zhang, Zhongwei Chen, Yixiang Wang, Ying Crystal Shen
  • Patent number: 11927892
    Abstract: Disclosed is a substrate, associated patterning device and a method for measuring a position of the substrate. The method comprises performing an alignment scan of an alignment mark to obtain simultaneously: a first measurement signal detected in a first measurement channel and a second measurement signal detected in a second measurement channel. The first and second measurement signals are processed by subtracting a first direction component of the first measurement signal from a first direction component of the second measurement signal to obtain a first processed signal, the first direction components relating to said first direction. The position of an alignment mark is determined with respect to the first direction from the first processed signal.
    Type: Grant
    Filed: November 17, 2020
    Date of Patent: March 12, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Franciscus Godefridus Casper Bijnen, Edo Maria Hulsebos