Patents Assigned to ASML Netherlands
  • Patent number: 11899374
    Abstract: A method for determining electromagnetic fields associated with a mask model of a patterning process. The method includes obtaining a mask stack region of interest and an interaction order corresponding to the mask stack region of interest. The mask stack region of interest is divided into sub regions. The mask stack region of interest has one or more characteristics associated with propagation of electromagnetic waves through the mask stack region of interest. The method includes generating one or more electromagnetic field determination expressions based on the Maxwell Equations and the Quantum Schrodinger Equation. The method includes determining an electromagnetic field associated with the mask stack region of interest based on the sub regions of the mask stack region of interest and the characteristics associated with the propagation of electromagnetic waves through the mask stack region of interest, using the one or more electromagnetic field determination expressions.
    Type: Grant
    Filed: April 16, 2019
    Date of Patent: February 13, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Xingyue Peng, Jingjing Liu
  • Patent number: 11898601
    Abstract: A support table for a lithographic apparatus, a method of loading a substrate, a lithographic apparatus and a method for manufacturing a device using a lithographic apparatus. In one arrangement, a support table is configured to support a substrate. The support table has a base surface. The base surface faces a surface of the substrate when the substrate is supported by the support table. One or more gas cushion members are provided above the base surface. Each of the gas cushion members includes a recess. The recess is shaped and configured such that a lowering of the substrate into a position on the support table at which the substrate is supported by the support table causes a localised build-up of pressure within the recess. The localized build-up of pressure provides a localised gas cushioning effect during the lowering of the substrate.
    Type: Grant
    Filed: August 20, 2021
    Date of Patent: February 13, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Siegfried Alexander Tromp, Antonie Hendrik Verweij, Abraham Alexander Soethoudt, Jan Pieter Van De Poel, Mark Constant Johannes Baggen
  • Publication number: 20240047173
    Abstract: A monolithic detector may be used in a charged particle beam apparatus. The detector may include a plurality of sensing elements formed on a first side of a semiconductor substrate, each of the sensing elements configured to receive charged particles emitted from a sample and to generate carriers in proportion to a first property of a received charged particle, and a plurality of signal processing components formed on a second side of the semiconductor substrate, the plurality of signal processing components being part of a system configured to determine a value that represents a second property of the received charged particle. The substrate may have a thickness in a range from about 10 to 30 ?m. The substrate may include a region configured to insulate the plurality of sensing elements formed on the first side from the plurality of signal processing components formed on the second side.
    Type: Application
    Filed: December 10, 2021
    Publication date: February 8, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Matthias OBERST, Harald Gert Helmut NEUBAUER, Thomas SCHWEIGER
  • Publication number: 20240045346
    Abstract: A reticle stage cleaning apparatus for a reticle stage in a lithographic apparatus includes a substrate having a frontside and a backside opposite the frontside and a conductive layer disposed on the frontside of the substrate. The conductive layer is configured to contact the reticle stage to dissipate charge on the reticle stage and to remove particles on the reticle stage via an electrostatic field generated between the conductive layer and the reticle stage. The substrate can include a plurality of grooves and the conductive layer can be disposed on the frontside of the substrate and on a bottom surface of the plurality of grooves. The reticle stage cleaning apparatus can include a second conductive layer configured to remove particles on the reticle stage via a second electrostatic field and be disposed atop the conductive layer in the bottom surface of the plurality of grooves.
    Type: Application
    Filed: December 9, 2021
    Publication date: February 8, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Pedro Julian RIZO DIAGO, George Grigorievich VOEVODKIN, Earl William EBERT
  • Publication number: 20240045341
    Abstract: A method for improving a lithographic process of imaging a portion of a design layout onto a substrate using a lithographic apparatus. The method includes computing a multi-variable cost function that is a function of: (i) a plurality of design variables that affect characteristics of the lithographic process and (ii) a radiation bandwidth of a radiation source of the lithographic apparatus; and reconfiguring one or more of the characteristics (e.g., EPE, image contrast, resist, etc.) of the lithographic process by adjusting one or more of the design variables (e.g., source, mask layout, bandwidth, etc.) until a termination condition is satisfied. The termination condition includes a speckle characteristic (e.g., a speckle contrast) maintained within a speckle specification associated with the radiation source and also maintaining an image contrast associated with the lithographic process within a desired range. The speckle characteristic being a function of the radiation bandwidth.
    Type: Application
    Filed: December 9, 2021
    Publication date: February 8, 2024
    Applicants: ASML NETHERLANDS B.V., Cymer, LLC
    Inventors: Willard Earl CONLEY, Duan-Fu Stephen HSU, Joshua Jon THORNES, Johannes Jacobus Matheus BASELMANS
  • Publication number: 20240045340
    Abstract: A method for controlling a process of manufacturing semiconductor devices, the method including: obtaining a first control grid associated with a first lithographic apparatus used for a first patterning process for patterning a first substrate; obtaining a second control grid associated with a second lithographic apparatus used for a second patterning process for patterning a second substrate; based on the first control grid and second control grid, determining a common control grid definition for a bonding step for bonding the first substrate and second substrate to obtain a bonded substrate; obtaining bonded substrate metrology data including data relating to metrology performed on the bonded substrate; and determining a correction for performance of the bonding step based on the bonded substrate metrology data, the determining a correction including determining a co-optimized correction for the bonding step and for the first patterning process and/or second patterning process.
    Type: Application
    Filed: September 6, 2023
    Publication date: February 8, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Peter TEN BERGE, Steven Erik STEEN, Pieter Gerardus Jacobus SMORENBERG, Khalid ELBATTAY
  • Publication number: 20240044824
    Abstract: The embodiments of the present disclosure provide various techniques for detecting backscatter charged particles, including accelerating charged particle sub-beams along sub-beam paths to a sample, repelling secondary charged particles from detector arrays, and providing devices and detectors which can switch between modes for primarily detecting charged particles and modes for primarily detecting secondary particles.
    Type: Application
    Filed: October 16, 2023
    Publication date: February 8, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Marco Jan-Jaco WIELAND, Albertus Victor Gerardus MANGNUS
  • Publication number: 20240044820
    Abstract: Disclosed herein is an apparatus comprising: a source configured to emit charged particles, an optical system and a stage; wherein the stage is configured to support a sample thereon and configured to move the sample by a first distance in a first direction; wherein the optical system is configured to form probe spots on the sample with the charged particles; wherein the optical system is configured to move the probe spots by the first distance in the first direction and by a second distance in a second direction, simultaneously, while the stage moves the sample by the first distance in the first direction; wherein the optical system is configured to move the probe spots by the first distance less a width of one of the probe spots in an opposite direction of the first direction, after the stage moves the sample by the first distance in the first direction.
    Type: Application
    Filed: October 10, 2023
    Publication date: February 8, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Kuo-Feng TSENG, Zhonghua DONG, Yixiang WANG, Zhong-wei CHEN
  • Publication number: 20240046022
    Abstract: A method for sample scheme generation includes obtaining measurement data associated with a set of locations; analyzing the measurement data to determine statistically different groups of the locations; and configuring a sample scheme generation algorithm based on the statistically different groups. A method includes obtaining a constraint and/or a plurality of key performance indicators associated with a sample scheme across one or more substrates; and using the constraint and/or plurality of key performance indicators in a sample scheme generation algorithm including a multi-objective genetic algorithm. The locations may define one or more regions spanning a plurality of fields across one or more substrates and the analyzing the measurement data may include stacking across the spanned plurality of fields using different respective sub-sampling.
    Type: Application
    Filed: August 30, 2023
    Publication date: February 8, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventor: Pierluigi FRISCO
  • Publication number: 20240044639
    Abstract: A scatterometer for measuring a property of a target on a substrate includes a radiation source, a detector, and a processor. The radiation source produces a radiated spot on the target. The scatterometer adjusts a position of the radiated spot along a first direction across the target and along a second direction that is at an angle with respect to the first direction. The detector receives radiation scattered by the target. The received radiation is associated with positions of the radiated spot on the target along at least the first direction. The detector generates measurement signals based on the positions of the radiated spot on the target. The processor outputs, based on the measurement signals, a single value that is representative of the property of the target. The processor also combines the measurement signals to output a combined signal and derives, based on the combined signal, the single value.
    Type: Application
    Filed: October 13, 2023
    Publication date: February 8, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Henricus Petrus Maria PELLEMANS, Arie Jeffrey DEN BOEF
  • Patent number: 11892776
    Abstract: Apparatuses and techniques for suppressing a zeroth order portion of a configured radiation beam. In some embodiments, an extreme ultraviolet (EUV) lithographic apparatus for forming an image on a substrate by use of an EUV radiation beam that is configured by a patterning device comprising a pattern of reflective regions and partially reflective regions, wherein the partially reflective regions are configured to suppress and apply a phase shift to a portion of the EUV radiation beam, may include a projection system. The projection system may be configured to suppress a zeroth order portion of a configured EUV radiation beam, and direct an unsuppressed portion of a configured EUV radiation beam towards a substrate to form an image on the substrate.
    Type: Grant
    Filed: December 12, 2019
    Date of Patent: February 6, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Johannes Jacobus Matheus Baselmans, Duan-Fu Stephen Hsu, Willem Jan Bouman, Frank Jan Timmermans, Marie-claire Van Lare
  • Publication number: 20240038485
    Abstract: Disclosed herein is a charged-particle apparatus configured to inspect a sample with a charged-particle beam. The charged-particle apparatus comprises a detector assembly or an array of multipole elements. The charged-particle apparatus comprises an electronic device, a power source configured to output radiation, and a power converter configured to receive radiation from the power source, to convert the received radiation into electrical energy and to output the electrical energy to the electronic device. The power source is electrically isolated from the power converter.
    Type: Application
    Filed: October 12, 2023
    Publication date: February 1, 2024
    Applicant: ASML Netherlands B.V.
    Inventor: Stijn Wilem Herman Karel STEENBRINK
  • Publication number: 20240036484
    Abstract: Disclosed is a method of metrology. The method comprises measuring at least one surrounding observable parameter relating to a surrounding signal contribution to a metrology signal which comprises a contribution to said metrology signal which is not attributable to at least one target being measured and determining a correction from said surrounding signal observable parameter. The correction is used to correct first measurement data relating to measurement of one or more targets using measurement radiation forming a measurement spot on one or more of said one or more targets which is larger than one of said targets.
    Type: Application
    Filed: December 2, 2021
    Publication date: February 1, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Timothy Dugan DAVIS, Simon Gijsbert Josephus MATHIJSSEN, Kaustuve BHATTACHARYYA, Sebastianus Adrianus GOORDEN, Armand Eugene Albert KOOLEN, Sera JEON, Shuo-Chun LIN
  • Publication number: 20240036477
    Abstract: An immersion lithography apparatus controller configured to control a positioner to move a support table to follow an exposure route and to control a liquid confinement structure, the controller configured to: predict whether liquid will be lost from an immersion space during at least one motion of the route in which an edge of the object passes under an edge of the immersion space, and if liquid loss from the immersion space is predicted, modify the fluid flow such that a first fluid flow rate into or out of an opening at a leading edge of the liquid confinement structure is different to a second fluid flow rate into or out of an opening at a trailing edge of the liquid confinement structure during the motion of predicted liquid loss or a motion of the route subsequent to the motion of predicted liquid loss.
    Type: Application
    Filed: September 8, 2023
    Publication date: February 1, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Erik Henricus Egidius Catharina EUMMELEN, Frank Debougnoux, Koen Cuypers, Han Henricus Aldegonda Lempens, Theodorus Wilhelmus Polet, Jorge Alberto Vieyra Salas, John Maria Bombeeck, Johannes Cornelis Paulus Melman, Giovanni Luca Gattobigio
  • Publication number: 20240036479
    Abstract: A method of optimizing a target layout for a patterning device and a sampling scheme for measuring the targets of the target layout exposed on a substrate, the method including co-optimizing the target layout and the sampling scheme to obtain an optimized target layout for the patterning device and an optimized sampling scheme for measuring the targets of the optimized target layout exposed on a substrate.
    Type: Application
    Filed: March 8, 2022
    Publication date: February 1, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Roy WERKMAN, Jochem Sebastiaan WILDENBERG, Manouk RIJPSTRA
  • Publication number: 20240037897
    Abstract: An apparatus and method of feature extraction for identifying a pattern. An improved method includes obtaining data representative of a pattern instance, dividing the pattern instance into a plurality of zones, determining a representative characteristic of a zone of the plurality of zones, generating a representation of the pattern instance using a feature vector, wherein the feature vector includes an element corresponding to the representative characteristic, wherein the representative characteristic is indicative of a spatial distribution of one or more features of the zone. The method may also include classifying and/or selecting pattern instances based on the feature vector.
    Type: Application
    Filed: November 24, 2021
    Publication date: February 1, 2024
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Danying LI, Meng LIU, Jen-Yi WUU, Rencheng SUN, Cong WU, Dean XU
  • Publication number: 20240036480
    Abstract: Disclosed is a method of measuring a target on a substrate comprising: illuminating a target with measurement radiation comprising at least a first wavelength, collecting the resultant scattered radiation within a collection numerical aperture; and determining a parameter of interest from said scattered radiation. The target comprises a mediator periodic structure and at least a first target periodic structure each in a respective different layer on the substrate, wherein a pitch of at least the mediator periodic structure is below a single diffraction limit defined by the collection numerical aperture and a wavelength of said measurement radiation, such that said scattered radiation comprises double diffracted radiation, said double diffracted radiation comprising radiation having undergone two sequential same-order diffractions of opposite sign.
    Type: Application
    Filed: December 9, 2021
    Publication date: February 1, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Armand Eugene Albert KOOLEN, Simon Gijsbert Josephus MATHIJSSEN, Hui Quan LIM, Amanda Elizabeth ANDERSON
  • Publication number: 20240037890
    Abstract: Systems and methods of image alignment are disclosed herein. The method of image alignment may comprise obtaining an image of a sample, obtaining information associated with a corresponding reference image, generating a modified rendered image by blurring a rendered image of the corresponding reference image such that a topology of the rendered image is substantially preserved, wherein a degree of blurring is based on a characteristic of the topology, and aligning the image of the sample with the blurred rendered image. The method may further comprise aligning the image of the sample with the corresponding reference image based on an alignment between the image of the sample and the blurred rendered image.
    Type: Application
    Filed: November 24, 2021
    Publication date: February 1, 2024
    Applicant: ASML Netherlands B.V.
    Inventors: Haoyi LIANG, Bing MA, Zhichao CHEN, Marc Jurian KEA
  • Patent number: 11886096
    Abstract: An assembly including a non-linear element configured for generating broadband radiation from input radiation coupled into the non-linear element. The assembly further includes an optical element positioned downstream of the non-linear element configured to reflect a fraction of the broadband radiation back into the non-linear element. The non-linear element can be a nonlinear fiber, such as a hollow-core photonic crystal fiber (HC-PCF).
    Type: Grant
    Filed: February 24, 2021
    Date of Patent: January 30, 2024
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Vitaliy Prosyentsov, Yongfeng Ni
  • Patent number: 11886125
    Abstract: A method of inferring a value for at least one local uniformity metric relating to a product structure, the method including: obtaining intensity data including an intensity image relating to at least one diffraction order obtained from a measurement on a target; obtaining at least one intensity distribution from the intensity image; determining, from the at least one intensity distribution, an intensity indicator expressing a variation of either intensity over the at least one diffraction order, or a difference in intensity between two complimentary diffraction orders over the intensity image; and inferring the value for the at least one local uniformity metric from the intensity indicator.
    Type: Grant
    Filed: February 2, 2021
    Date of Patent: January 30, 2024
    Assignee: ASML NETHERLANDS B. V.
    Inventors: Simon Gijsbert Josephus Mathijssen, Kaustuve Bhattacharyya