Patents Assigned to Atrenta Inc.
  • Patent number: 8806401
    Abstract: A system and methods for reasonable formal verification provides a user with coverage information that is used for verification signoff. The coverage is calculated based on formal analysis techniques and is provided to the user in terms of design-centric metrics rather than formal-centric metrics. Design-centric metrics include the likes of a number of reads from or writes to memories and a number of bit changes for counters, among many others. Accordingly, a setup for failure (SFF) function and a trigger the failure (TTF) function take place. During SFF formal analysis is applied in an attempt to reach a set of states close enough to suspected failure states. During TTF formal analysis is applied, starting from the SFF states, to search for a state violating a predetermined property. If results are inconclusive the user is provided with a design-centric coverage metric that can be used in signoff.
    Type: Grant
    Filed: March 27, 2013
    Date of Patent: August 12, 2014
    Assignee: Atrenta, Inc.
    Inventors: Mohamad Shaker Sarwary, Maher Mneimneh
  • Patent number: 8788993
    Abstract: In order to realize some of the advantages described above, there is provided a computer system for verification of an intellectual property (IP) core in a system-on-chip (SoC). The system generates a plurality of verification specific abstracted views of the IP core, each of the plurality of verification specific abstracted views having a plurality of verification specific attributes at an input/output (I/O) interface of each of the abstracted view of the IP-core. A unified abstracted view of the IP-core is generated.
    Type: Grant
    Filed: August 7, 2013
    Date of Patent: July 22, 2014
    Assignee: Atrenta, Inc.
    Inventors: Sridhar Gangadharan, Mohammad H. Movahed-Ezazi, Shaker Sarwary, Fadi Maamari, Subir Chandra Ray
  • Patent number: 8782582
    Abstract: This invention provides a method for detecting physical implementation hot-spots in a pre-placement integrated circuit design. The method first identifies physical issues at an object level. Physical issues include timing, routing congestion, clocking, scan, power, and thermal. The method then analyzes these physical issues over a collection of connected logic cell and large cell instances and determines a physical implementation hot-spot severity based on the number and severity of physical issues as well as the number of objects in the related collection.
    Type: Grant
    Filed: July 30, 2013
    Date of Patent: July 15, 2014
    Assignee: Atrenta, Inc.
    Inventors: Jitendra Gupta, Ashima Dabare, Kshitiz Krishna, Sanjiv Mathur, Ravi Varadarajan
  • Patent number: 8782587
    Abstract: Provided are systems and methods for generating a higher level description of a circuit design comprising a plurality of interface instances. One or more buckets for each source instance with respect to each destination instance included in the circuit design are generated, and then the one or more buckets are sorted based on a number of bucket entries in each bucket. One or more interface instances are generated based on the sorted buckets. The higher level description of the circuit design is generated based on the one or more interface instances.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: July 15, 2014
    Assignee: Atrenta, Inc.
    Inventors: Anshuman Nayak, Samantak Chakrabarti, Brijesh Agrawal, Chandrakanti Vamshi Krishna
  • Patent number: 8775989
    Abstract: In the field of integrated circuit (IC) design it is common to use a plurality of design constraints files to provide the appropriate operational mode when checking the design. Designers typically use the Synopsis® design constraint (SDC) format to describe the constraints in each operational mode. Each time an operational mode is tested a corresponding SDC is used. By merging a plurality of SDCs into a single most pessimistic SDC, designers are able to ensure that the device will properly operate in all the defined operational modes. Only a single run of the merged SDC in the hypothetical mode is required thereby saving time as well as avoiding potential errors from conflicting constraints in different operational modes.
    Type: Grant
    Filed: July 8, 2011
    Date of Patent: July 8, 2014
    Assignee: Atrenta, Inc.
    Inventors: Sridhar Gangadharan, Manish Goel, Amit Handa
  • Patent number: 8756466
    Abstract: Scan blocks with scan chains are used to partition and test semiconductor devices using scan groups. The partitioning of the semiconductor device enables testing of all elements within each scan block, at speed, to provide fault coverage. A challenge in scan testing is keeping the power dissipation during testing under the allowed power capabilities of the tester power supplies, as the power used during scan test is much higher than that used during functional testing. A method for estimating the power dissipation of scan blocks in a circuit during the design stage is disclosed. Using the results generated, the circuit designer divides the design into an optimum number of scan blocks for test. Thus at-speed scan of the individual or groups of scan blocks can be estimated, during design, for optimizing test time while keeping the test power within acceptable limits.
    Type: Grant
    Filed: March 20, 2013
    Date of Patent: June 17, 2014
    Assignee: Atrenta, Inc.
    Inventor: David Allen
  • Patent number: 8745567
    Abstract: A logical congestion metric analysis engine predicts pre-placement routing congestion of integrated circuit designs. The engine uses a method employing new congestion-predicting metrics derived from structural register transfer level (RTL). The method compares multiple metrics to those stored in a knowledge base to predict routing congestion. The knowledge base contains routing results for multiple designs using the same technology. For each design the knowledge base holds pre-placement metric values and the corresponding post-placement and routing congestion results. A logical congestion debug tool allows users to visualize and fix congestion issues.
    Type: Grant
    Filed: July 26, 2013
    Date of Patent: June 3, 2014
    Assignee: Atrenta, Inc.
    Inventors: Ravi Varadrajan, Jitendra Gupta, Priyank Mittal, Tapeesh Gupta, Navneet Mohindru
  • Patent number: 8739087
    Abstract: In the process of designing an integrated circuit (IC), it is often the case that a functional description is converted into multiplexers. In some cases it would be more efficient to combine two or more multiplexers into a larger multiplexer to identify potential design problems in the original register transfer level (RTL). Such early detection can prevent routing congestion problem that would be too expensive to fix later. A large multiplexer is defined as a multiplexer having a number of inputs and control signals that is above a predetermined threshold. When such a multiplexing functionality is detected that function may be replaced in the circuit with a large multiplexer that would be a more efficient implementation. Accordingly the circuit is checked for existence of multiplexing functions, and merging, when possible, of such multiplexing functions to achieve the ability to instantiate the multiplexing functionality with a large multiplexer.
    Type: Grant
    Filed: January 31, 2013
    Date of Patent: May 27, 2014
    Assignee: Atrenta, Inc.
    Inventors: Tien-Chien Lee, Saurabh Verma, Satrajit Pal, Chandra Manglani, Jitendra Kumar, Mohammad H. Movahed-Ezazi
  • Patent number: 8732647
    Abstract: An electronic design automation method implemented in a computing system is provided for creating a physical connections netlist for a pre-floorplan partitioned design file of 3D integrated circuits. The inputs are a 3D stack defining the topology of multiple dies, and a given design partitioning. The design partitioning defines the logic implemented in each die. The method identifies through-silicon-vias (TSVs), bump pins (BPs) and net connections.
    Type: Grant
    Filed: August 28, 2013
    Date of Patent: May 20, 2014
    Assignee: Atrenta, Inc.
    Inventors: Lenuta Georgeta Claudia Rusu, Kaushal Kishore Pathak, Ravi Varadarajan
  • Publication number: 20140101630
    Abstract: In order to realize some of the advantages described above, there is provided a computer system for verification of an intellectual property (IP) core in a system-on-chip (SoC). The system generates a plurality of verification specific abstracted views of the IP core, each of the plurality of verification specific abstracted views having a plurality of verification specific attributes at an input/output (I/O) interface of each of the abstracted view of the IP-core. A unified abstracted view'of the IP-core is generated.
    Type: Application
    Filed: August 7, 2013
    Publication date: April 10, 2014
    Applicant: Atrenta, Inc.
    Inventors: Sridhar Gangadharan, Mohammad H. Movahed-Ezazi, Shaker Sarwary, Fadi Maamari, Subir Chandra Ray
  • Publication number: 20140089879
    Abstract: A method for timing optimization of an integrated circuit design using a timing optimization system comprising loading an original delay value and an original gate configuration net-list for an original gate from a results database. A near optimum gate configuration is identified using near optimum gate configuration information stored in a delay characterization database for the original gate. A near optimum delay value and a near optimum gate configuration net-list of a near optimum gate configuration are loaded. A timing optimized gate configuration is provided from running an incremental static timing analysis of the near optimum gate configuration.
    Type: Application
    Filed: September 24, 2012
    Publication date: March 27, 2014
    Applicant: ATRENTA, INC.
    Inventors: Anup NAGRATH, Sanjiv MATHUR
  • Patent number: 8677295
    Abstract: The circuit design process requires ways to reduce the power consumption of large integrated circuits and system-on-chip designs. This is typically done by introducing a process of clock gating thereby enabling or disabling flip-flops associated with specific functional blocks within the circuit. However, such changes in the circuit require synthesis and verification to ensure correctness of design and operation as sequential clock gating changes the state function dynamically. It is therefore necessary to define synthesis methods adapted to such dynamic changes in the design. According to an embodiment a sequential clock gating method uses an exclusive-OR technique to overcome the deficiencies of the prior art methods.
    Type: Grant
    Filed: November 18, 2013
    Date of Patent: March 18, 2014
    Assignee: Atrenta, Inc.
    Inventors: Solaiman Rahim, Mohammad H. Movahed-Ezazi
  • Patent number: 8656335
    Abstract: A system and several methods for inferring higher level descriptions of circuit connectivity from register transfer level (RTL) netlists in order to provide more understandable and manageable design descriptions for complex System-on-Chip (SOC) designs, is provided. In particular, interface matching based on connectivity propagation is automatically performed whereby port names and properties on instances of functional elements and blocks are propagated to top level design ports as well as other instances of functional elements and blocks to create a more robust description of connectivity according to the RTL netlist, and to automatically form signal groupings that comprise a higher-level abstracted description. Also, a facility is included to allow user-guided grouping of instantiated interfaces with respect to actual signal names and properties in an RTL-level design.
    Type: Grant
    Filed: June 25, 2012
    Date of Patent: February 18, 2014
    Assignee: Atrenta, Inc.
    Inventors: Anshuman Nayak, Samantak Chakrabarti, Brijesh Agrawal, Nitin Bhardwaj
  • Patent number: 8656328
    Abstract: A system, such as a computer aided design (CAD) system, is configured to abstract at least a portion of an integrated circuit (IC) design provided thereto. The system selects two signals of the IC and determines the respective sub-circuits ending at each of the signals, excluding the other sub-circuit when two sub-circuits intersect. It then identifies an intersection of the two sub-circuits and therefore establishes an abstraction therefrom. The abstraction replaces the circuit for verification purposes of the IC design. The process can repeat as may be necessary or until no two signals have sub-circuits that intersect. The process described for two signals is equally applicable to a plurality of signals for which the intersection is defined as the intersection of all the sub-circuits defined by the plurality signals. The abstraction allows for effective verification of portions of ICs as may be necessary.
    Type: Grant
    Filed: March 8, 2013
    Date of Patent: February 18, 2014
    Assignee: Atrenta, Inc.
    Inventors: Mohamed Shaker Sarwary, Mohammed Movahed-Ezazi, Barsneya Chakrabarti, Manish Gupta, Chandan Kumar
  • Patent number: 8656326
    Abstract: The circuit design process requires ways to reduce the power consumption of large integrated circuits and system-on-chip designs. This is typically done by introducing a process of clock gating thereby enabling or disabling flip-flops associated with specific functional blocks within the circuit. However, such changes in the circuit require synthesis and verification to ensure correctness of design and operation as sequential clock gating changes the state function dynamically. It is therefore necessary to define synthesis methods adapted to such dynamic changes in the design. According to an embodiment a sequential clock gating method uses an exclusive-OR technique to overcome the deficiencies of the prior art methods.
    Type: Grant
    Filed: February 13, 2013
    Date of Patent: February 18, 2014
    Assignee: Atrenta, Inc.
    Inventors: Solaiman Rahim, Mohammad H. Movahed-Ezazi
  • Publication number: 20140047399
    Abstract: A system and methods are disclosed for inferring higher level descriptions of circuit connectivity from register transfer level (RTL) netlists in order to provide more understandable and manageable design descriptions for complex System-on-Chip (SOC) designs. In particular, rule-based interface matching is automatically performed by analyzing actual port names on instances of functional elements and blocks to form signal groupings that comprise a higher-level abstracted description. An example syntax is provided for defining rules that are used to define how various analysis are performed. Data describing standard interfaces on common Intellectual Property (IP) blocks is optionally made available to facilitate interface matching. Also, a facility is included to allow user-guided mapping on instantiated interfaces with respect to actual port names in an RTL-level design.
    Type: Application
    Filed: October 17, 2013
    Publication date: February 13, 2014
    Applicant: Atrenta, Inc.
    Inventors: Anshuman NAYAK, Samantak CHAKRABARTI, Brijesh AGRAWAL, Nitin BHARDWAJ
  • Publication number: 20140040841
    Abstract: Timing Constraints are crucial to meet timing requirements of an Integrated Circuit (IC). Timing exceptions are specified so that certain paths of the design of the IC are not timed as they are not relevant for the speed of the IC. If a path is specified as an exception but it is indeed a timing-relevant path then the design may functionally fail due to timing violations ignored by the timing analysis tools. It is therefore extremely important to ensure that all timing exceptions are correctly specified. The Hybrid Timing Exceptions Verification uses static verification as well as dynamic verification to effectively verify correctness of such timing exceptions. The solution pin-points the errors in the exceptions specification with very low number of false errors that would require significant designer inputs and time to manually waive them.
    Type: Application
    Filed: October 7, 2013
    Publication date: February 6, 2014
    Applicant: ATRENTA, INC.
    Inventor: Mohamed Shaker SARWARY
  • Publication number: 20140033155
    Abstract: Provided are systems and methods for generating a higher level description of a circuit design comprising a plurality of interface instances. One or more buckets for each source instance with respect to each destination instance included in the circuit design are generated, and then the one or more buckets are sorted based on a number of bucket entries in each bucket. One or more interface instances are generated based on the sorted buckets. The higher level description of the circuit design is generated based on the one or more interface instances.
    Type: Application
    Filed: November 21, 2012
    Publication date: January 30, 2014
    Applicant: ATRENTA, INC.
    Inventors: Anshuman NAYAK, Samantak CHAKRABARTI, Brijesh AGRAWAL, Chandrakanti Vamshi KRISHNA
  • Patent number: 8635578
    Abstract: A system and method enable strengthening of flip-Flops (FFs) in an integrated circuit (IC) for the purpose of reducing power consumption. This is achieved by using stability condition (STC) and observability don't-care (ODC) techniques. Strengthening enable is defined as ensuring that a FF later in the fan-out is enabled only when a FF earlier in the fan-out is driving a signal to that later FF. In an embodiment the fan-in of a FF is traversed and the STC or ODC is determined for the FF. Dependent on the determination a STC controller or an ODC controller is added to control the FF's enable signal. In an embodiment the power savings is checked and a controller is added only if there is a reduction in overall power consumption resulting from the addition of the controller.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: January 21, 2014
    Assignee: Atrenta, Inc.
    Inventors: Solaiman Rahim, Mohammad Homayoun Movahed-Ezazi, Siddharth Guha, Vaibhav Jain
  • Patent number: 8607173
    Abstract: Clock-domain crossing (CDC) verification for system on chip (SoC) integrated circuits (IC) can be time consuming and complex, especially as the size of the SoC and the complexity of the modules of which it comprises increase. A bottom-up verification process includes the replacement of a CDC verified module by an abstracted model of the module with constraints defined on the boundaries of the module. Performing the process in a hierarchic manner from bottom upwards allows for faster verification of modules higher in the hierarchy as at least portions thereof are replaced with the abstracted modules.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: December 10, 2013
    Assignee: Atrenta, Inc.
    Inventors: Mohamed Shaker Sarwary, Maher Mneimneh, Paras Mal Jain, Deepak Ahuja, Mohammad Homayoun Movahed-Ezazi