Patents Assigned to Atrenta Inc.
  • Publication number: 20100064263
    Abstract: A technique and apparatus for reducing the complexity of optimizing the performance of a designed semiconductor circuit is disclosed. This technique of path compaction is used to reduce the time taken for optimization. The path compaction tool is used in design optimization to reduce the optimizer execution time. Compaction helps readability, usability and reduces synthesis and static timing analyzer (STA) runtime. The aim of path compaction is to reduce the number of constraints the optimizer has to go through during the optimization process. Path compaction has three dimensions. The first is to reduce number of “-through” elements in the constraint, thereby reducing the complexity of constraints developed The second is to combine the paths to reduce the number of constraints. The third is to combine the constraints to reduce the number of constraints to be checked and optimized. Path compaction is used when generating timing exception using timing exception tools.
    Type: Application
    Filed: September 8, 2008
    Publication date: March 11, 2010
    Applicant: ATRENTA, INC.
    Inventors: Solaiman Rahim, Manish Bhatia, Housseine Rejouan
  • Patent number: 7650581
    Abstract: A method and system for timing exception verification in integrated circuit (IC) designs included verification of functional false paths as well as multi-cycle paths (MCPs). A false path or a MCP is modeled to a satisfiability formula and the formula is validated using a Boolean satisfiability solver. Time required for timing exception verification can be significantly reduced.
    Type: Grant
    Filed: May 15, 2007
    Date of Patent: January 19, 2010
    Assignee: Atrenta, Inc.
    Inventors: Solaiman Rahim, Mayank Jain
  • Patent number: 7546559
    Abstract: A method for optimization of clock gating in integrated circuit (IC) design. Clock gating techniques are very useful in reducing the electrical power consumed by an IC. A general method for identifying registers that are candidates for clock gating is presented. Furthermore, a determination is made regarding which of the candidate registers to clock gate in order to achieve optimal power and IC area savings. The determination is based on switching activity of the candidate registers.
    Type: Grant
    Filed: May 22, 2006
    Date of Patent: June 9, 2009
    Assignee: Atrenta, Inc.
    Inventors: Bhanu Kapoor, Debabrata Bagchi, Nitin Sharma
  • Patent number: 7536662
    Abstract: First-in-first-out (FIFO) structures are recognized and verified in integrated circuit (IC) designs. The FIFO recognition is based on structural analysis of the design. Specifically, the structural analysis includes performing seed based recognition by identifying logic elements that indicate the existence of candidate FIFO circuitry and then exploring the candidate circuitry to completely verify the presence of a FIFO structure. Recognized FIFOs may be verified to ensure the proper generation of the full and empty flags.
    Type: Grant
    Filed: June 27, 2006
    Date of Patent: May 19, 2009
    Assignee: Atrenta, Inc.
    Inventors: Shaker Sarwary, Jun Yuan, Bernard Murphy, Ashish Hari, Paras Mal Jain
  • Patent number: 7506292
    Abstract: Unsynchronized clock-domain crossings in the design of integrated circuit are detected by searching for clock-crossing domains. For each clock-crossing that does not include an explicit synchronization cell, an analysis determines if the clock is stable crossing the domains.
    Type: Grant
    Filed: March 15, 2006
    Date of Patent: March 17, 2009
    Assignee: Atrenta, Inc.
    Inventors: Mohamed Shaker Sarwary, Mohammad Movahed Ezazi, Bernard Murphy
  • Publication number: 20090044033
    Abstract: A method for computing the power savings in an integrated circuit (IC) design is disclosed. The method computes the difference in power savings between techniques used for clock gating. Based on the computation results, the method outputs a script to control the implementation tool so as to provide for the best implementation clock gating technique in terms of power and area savings.
    Type: Application
    Filed: August 10, 2007
    Publication date: February 12, 2009
    Applicant: ATRENTA, INC.
    Inventor: David L. ALLEN
  • Publication number: 20080301598
    Abstract: The equivalence of two or more constraint files of an integrated circuit (IC) design are checked. The comparison is performed between files at the same stage of design, files that correspond to different stages of the design flow, or between top-level and block-level constraint files.
    Type: Application
    Filed: May 31, 2007
    Publication date: December 4, 2008
    Applicant: Atrenta, Inc.
    Inventors: Sridhar Gangadharan, Manish Goel, Pratyush K. Prasoon, Suraj Bharech
  • Publication number: 20080288904
    Abstract: A method and system for timing exception verification in integrated circuit (IC) designs included verification of functional false paths as well as multi-cycle paths (MCPs). A false path or a MCP is modeled to a satisfiability formula and the formula is validated using a Boolean satisfiability solver. Time required for timing exception verification can be significantly reduced.
    Type: Application
    Filed: May 15, 2007
    Publication date: November 20, 2008
    Applicant: ATRENTA, INC.
    Inventors: Solaiman Rahim, Mayank Jain
  • Patent number: 7451427
    Abstract: A method for the abstraction of connectivity that provides an intermediate data path representation of integrated circuit (IC) designs is provided. The connectivity abstraction maintains the compactness of a bus level representation as well as the uniqueness of a bit level representation. Connectivity abstraction significantly reduces network complexity, i.e., the number of wires in a design and the execution time of physical synthesis of IC designs.
    Type: Grant
    Filed: June 13, 2006
    Date of Patent: November 11, 2008
    Assignee: Atrenta, Inc.
    Inventor: Ravi Varadarajan
  • Publication number: 20080244472
    Abstract: A method for accelerating the generation of an optimized netlist from a RTL representation is provided. The method optimizes a given RTL description of an integrated circuit (IC) design by: generating a static single assignment (SSA) graph; creating value range propagation for each variable in the SSA graph; and, applying one or more of a set of optimization algorithms on the SSA graph. The optimization algorithms include, but are not limited to, dead-code elimination, bitwidth analysis, redundancy elimination, iteration loop optimization, algebraic simplification and so on. These algorithms operate on a word-level description to enable fast optimization. Furthermore, the optimized RTL accelerates the overall flow of an IC design.
    Type: Application
    Filed: March 29, 2007
    Publication date: October 2, 2008
    Applicant: ATRENTA, INC.
    Inventors: Anshuman NAYAK, Samantak CHAKRABARTI, Satrajit PAL, Hitanshu DEWAN
  • Publication number: 20080201671
    Abstract: A method for generating timing exceptions for integrated circuit (IC) designs is disclosed. The method includes synthesizing an input RTL description into a gate-level netlist mapped to a technology library; detecting timing critical paths in the netlist; and determining for each detected timing critical path whether it induces timing exceptions. The timing exceptions generated by the disclosed method include, but are not limited to, multi-cycle paths, clock domain crossing false paths, asynchronous false paths, functional false paths, combinational false paths, sequential false paths, timing false paths, and the like.
    Type: Application
    Filed: February 16, 2007
    Publication date: August 21, 2008
    Applicant: ATRENTA, INC.
    Inventors: Housseine Rejouan, Solaiman Rahim, Mohammad H. Movahed-Ezazi
  • Publication number: 20080098338
    Abstract: A method, system and computer program product for generating and verifying the correctness of isolation logic modules in design of integrated circuits (ICs). The method disclosed generates an isolation logic module for each power domain specified by a user, instantiates the generated module in a pre-determined wakeup domain, and then simulates shutdown conditions to ensure the correctness of the generated isolation logic module. The isolation logic is generated based on user-defined voltage constraints.
    Type: Application
    Filed: December 18, 2007
    Publication date: April 24, 2008
    Applicant: ATRENTA, INC.
    Inventors: Bhanu Kapoor, Debabrata Bagchi, Sanjay Churiwala
  • Patent number: 7349835
    Abstract: A method, system and computer program product for generating and verifying the correctness of isolation logic modules in design of integrated circuits (ICs). The method disclosed generates an isolation logic module for each power domain specified by a user, instantiates the generated module in a pre-determined wakeup domain, and then simulates shutdown conditions to ensure the correctness of the generated isolation logic module. The isolation logic is generated based on user-defined voltage constraints.
    Type: Grant
    Filed: September 21, 2004
    Date of Patent: March 25, 2008
    Assignee: Atrenta, Inc.
    Inventors: Bhanu Kapoor, Debabrata Bagchi, Sanjay Churiwala
  • Publication number: 20080008021
    Abstract: First-in-first-out (FIFO) structures are recognized and verified in integrated circuit (IC) designs. The FIFO recognition is based on structural analysis of the design. Specifically, the structural analysis includes performing seed based recognition by identifying logic elements that indicate the existence of candidate FIFO circuitry and then exploring the candidate circuitry to completely verify the presence of a FIFO structure. Recognized FIFOs may be verified to ensure the proper generation of the full and empty flags.
    Type: Application
    Filed: June 27, 2006
    Publication date: January 10, 2008
    Applicant: ATRENTA, INC.
    Inventors: Shaker SARWARY, Jun YUAN, Bernard MURPHY, Ashish HARI, Paras Mal JAIN
  • Patent number: 7277840
    Abstract: A method for efficiently detecting bus contention from a register transfer level (RTL) description is provided. A bus contention occurs if more than two components try to propagate data onto a bus at the same time. The provided method simulates possible input combinations and detects whether there is a possibility for a bus contention. In addition, the provided method is designed for testability, therefore using the method, the designer may identify contention that may exist in test mode at the RTL level of the design even when such conditions may not occur in system mode. The method provides the designer with the input combination as well as the RTL statement that caused the contention. The method detects the bus contention by simulating a small number of input combinations.
    Type: Grant
    Filed: June 18, 2002
    Date of Patent: October 2, 2007
    Assignee: Atrenta, Inc.
    Inventor: Ralph Marlett
  • Patent number: 7216321
    Abstract: A method for recognizing a pattern in a design of an integrated circuit (IC), comprising identifying a pattern correspondence element in a pattern instance. A pattern tree corresponding to the pattern instance is built. A list of candidate design correspondence elements in a design instance of the IC are built. Iteratively, for each design correspondence element in said list of candidate design correspondence elements each rank in a tree representation of said design instance built around said each design correspondence element is compared with corresponding rank in said pattern tree.
    Type: Grant
    Filed: February 23, 2004
    Date of Patent: May 8, 2007
    Assignee: Atrenta, Inc.
    Inventors: Bernard Murphy, Pratyush Kumar Prasoon, Manish Bhatia
  • Patent number: 7152216
    Abstract: Level shifter modules, used in integrated circuits (ICs), are automatically inserter and their correctness verified. A level shifter module for signals crossing voltage domains is generated, and instances thereof are inserted in a pre-determined voltage domain. Several checks ensure the correctness of the inserted level shifter module. The level shifter modules are instantiated based on user-defined voltage constraints.
    Type: Grant
    Filed: October 15, 2004
    Date of Patent: December 19, 2006
    Assignee: Atrenta, Inc.
    Inventors: Bhanu Kapoor, Debabrata Bagchi
  • Publication number: 20060282800
    Abstract: A method for the abstraction of connectivity that provides an intermediate data path representation of integrated circuit (IC) designs is provided. The connectivity abstraction maintains the compactness of a bus level representation as well as the uniqueness of a bit level representation. Connectivity abstraction significantly reduces network complexity, i.e., the number of wires in a design and the execution time of physical synthesis of IC designs.
    Type: Application
    Filed: June 13, 2006
    Publication date: December 14, 2006
    Applicant: ATRENTA, INC.
    Inventor: Ravi Varadarajan
  • Publication number: 20060248487
    Abstract: A method for optimization of clock gating in integrated circuit (IC) design. Clock gating techniques are very useful in reducing the electrical power consumed by an IC. A general method for identifying registers that are candidates for clock gating is presented. Furthermore, a determination is made regarding which of the candidate registers to clock gate in order to achieve optimal power and IC area savings. The determination is based on switching activity of the candidate registers.
    Type: Application
    Filed: May 22, 2006
    Publication date: November 2, 2006
    Applicant: ATRENTA, INC.
    Inventors: Bhanu Kapoor, Debabrata Bagchi, Nitin Sharma
  • Publication number: 20060190754
    Abstract: A structural analysis tool automatically detects complex handshake mechanisms for controlling data transfers between clock-domain crossings. The structural analysis tool may also verify the correctness of the handshake mechanism.
    Type: Application
    Filed: February 24, 2005
    Publication date: August 24, 2006
    Applicant: ATRENTA, INC.
    Inventors: Alain Dargelas, Paras Mal Jain, Ashish Hari, Bernard Murphy, Anthony Joseph