Patents Assigned to Intermolecular, Inc.
  • Patent number: 9054307
    Abstract: Provided are semiconductor devices, such as resistive random access memory (ReRAM) cells, that include current limiting layers formed from alloys of transition metals. Some examples of such alloys include chromium containing alloys that may also include nickel, aluminum, and/or silicon. Other examples include tantalum and/or titanium containing alloys that may also include a combination of silicon and carbon or a combination of aluminum and nitrogen. These current limiting layers may have resistivities of at least about 1 Ohm-cm. This resistivity level is maintained even when the layers are subjected to strong electrical fields and/or high temperature processing. In some embodiments, the breakdown voltage of a current limiting layer is at least about 8V. The high resistivity of the layers allows scaling down the size of the semiconductor devices including these layers while maintaining their performance.
    Type: Grant
    Filed: June 27, 2014
    Date of Patent: June 9, 2015
    Assignees: Intermolecular, Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
    Inventors: Yun Wang, Tony P. Chiang, Imran Hashim, Tim Minvielle, Dipankar Pramanik, Takeshi Yamaguchi
  • Patent number: 9052456
    Abstract: A bi-layer seed layer can exhibit good seed property for an infrared reflective layer, together with improved thermal stability. The bi-layer seed layer can include a thin zinc oxide layer having a desired crystallographic orientation for a silver infrared reflective layer disposed on a bottom layer having a desired thermal stability. The thermal stable layer can include aluminum, magnesium, or bismuth doped tin oxide (AlSnO, MgSnO, or BiSnO), which can have better thermal stability than zinc oxide but poorer lattice matching for serving as a seed layer template for silver (111).
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: June 9, 2015
    Assignees: Intermolecular, Inc., Guardian Industries Corp.
    Inventors: Mohd Fadzli Anwar Hassan, Brent Boyce, Guowen Ding, Muhammad Imran, Minh Huu Le, Zhi-Wen Wen Sun, Yu Wang, Yongli Xu
  • Patent number: 9054032
    Abstract: An embodiment of the present invention sets forth an embedded resistive memory cell that includes a first stack of deposited layers, a second stack of deposited layers, a first electrode disposed under a first portion of the first stack, and a second electrode disposed under a second portion of the first stack and extending from under the second portion of the first stack to under the second stack. The second electrode is disposed proximate to the first electrode within the embedded resistive memory cell. The first stack of deposited layers includes a dielectric layer, a high-k dielectric layer disposed above the dielectric layer, and a metal layer disposed above the high-k dielectric layer. The second stack of deposited layers includes a high-k dielectric layer formed simultaneously with the high-k dielectric layer included in the first stack, and a metal layer disposed above the high-k dielectric layer.
    Type: Grant
    Filed: July 8, 2014
    Date of Patent: June 9, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Dipankar Pramanik, Tony P. Chiang, David E Lazovsky
  • Publication number: 20150155368
    Abstract: Embodiments described herein provide amorphous silicon thin-film transistors (a-Si TFTs) and methods for forming a-Si TFTs. A substrate is provided. A gate electrode is formed above the substrate. An a-Si channel layer is formed above the gate electrode. A contact layer is formed above the a-Si channel layer. The contact layer includes titanium, zinc, arsenic, or a combination thereof. A source electrode and a drain electrode are formed above the contact layer.
    Type: Application
    Filed: December 3, 2013
    Publication date: June 4, 2015
    Applicant: Intermolecular, Inc.
    Inventor: Khaled Ahmed
  • Patent number: 9048425
    Abstract: Embodiments generally include a method of forming a nonvolatile memory device that contains a resistive switching memory element that has an improved device switching capacity by using multiple layers of variable resistance layers. In one embodiment, the resistive switching element comprises at least three layers of variable resistance materials to increase the number of logic states. Each variable resistance layer may have an associated high resistance state and an associated low resistance state. As the resistance of each variable resistance layer determines the digital data bit that is stored, the multiple variable resistance layers per memory element allows for additional data storage without the need to further increase the density of nonvolatile memory devices.
    Type: Grant
    Filed: October 20, 2014
    Date of Patent: June 2, 2015
    Assignees: Intermolecular, Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
    Inventor: Tony P. Chiang
  • Patent number: 9045363
    Abstract: Embodiments provided herein describe a low-e panel and a method for forming a low-e panel. A transparent substrate is provided. A metal oxide layer is formed over the transparent substrate. The metal oxide layer includes a first element, a second element, and a third element. A reflective layer is formed over the transparent substrate. The first element may include tin or zinc. The second element and the third element may each include tin, zinc, antimony, silicon, strontium, titanium, niobium, zirconium, magnesium, aluminum, yttrium, lanthanum, hafnium, or bismuth. The metal oxide layer may also include nitrogen.
    Type: Grant
    Filed: December 27, 2011
    Date of Patent: June 2, 2015
    Assignees: Intermolecular, Inc., Guardian Industries Corp.
    Inventors: Mohd Fadzli Anwar Hassan, Richard Blacker, Guowen Ding, Jingyu Lao, Hien Minh Huu Le, Yiwei Lu, Minh Anh Nguyen, Zhi-Wen Sun
  • Patent number: 9044774
    Abstract: A vented combinatorial processing cell is described, including a sleeve having an end forming a fluid seal with a region of a substrate, a flow head including a vent and disposed in the sleeve to dispense fluid onto the region, the flow head, the substrate, and the sleeve defining a chamber for processing the region, a fluid source attached to the flow head to deliver the fluid into the chamber, and a vacuum port attached to the flow head to remove fluid from the chamber.
    Type: Grant
    Filed: December 28, 2007
    Date of Patent: June 2, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Aaron Francis, John Schmidt, Kenneth Alfred Williams
  • Patent number: 9047940
    Abstract: Provided are resistive random access memory (ReRAM) cells forming arrays and methods of operating such cells and arrays. The ReRAM cells of the same array may have the same structure, such as have the same bottom electrodes, top electrodes, and resistive switching layers. Yet, these cells may be operated in a different manner. For example, some ReRAM cells may be restively switched using lower switching voltages than other cells. The cells may also have different data retention characteristics. These differences may be achieved by using different forming operations for different cells or, more specifically, flowing forming currents in different directions for different cells. The resulting conductive paths formed within the resistive switching layers are believed to switch at or near different electrode interfaces, i.e., within a so called switching zone. In some embodiments, a switching zone of a ReRAM cell may be changed even after the initial formation.
    Type: Grant
    Filed: January 10, 2013
    Date of Patent: June 2, 2015
    Assignees: Intermolecular, Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
    Inventors: Federico Nardi, Yun Wang
  • Publication number: 20150146341
    Abstract: A thin sub-layer (<15 ?) of an impurity is formed under, over, or inside a thicker layer (˜30-100 ?) of a high-k (k>12) host material. The sub-layer may be formed by atomic layer deposition (ALD). The layer and sub-layer are annealed to form a composite dielectric layer. The host material crystallizes, but the crystalline lattice and grain boundaries are disrupted near the impurity sub-layer, impeding the migration of electrons. The impurity may be a material with a lower dielectric constant than the high-k material, added in such a small relative amount that the composite dielectric is still high-k. Metal-insulator-metal capacitors may be fabricated by forming the composite dielectric layer between two electrodes.
    Type: Application
    Filed: November 27, 2013
    Publication date: May 28, 2015
    Applicants: Intermolecular Inc.
    Inventors: Nobumichi Fuchigami, David Paul Brunco, Karthik Ramani, Dina Triyoso
  • Patent number: 9040465
    Abstract: A combination of deposition processes can be used to evaluate layer properties using a combinatorial workflow. The processes can include a base ALD process and another process, such as a PVD process. The high productivity combinatorial technique can provide an evaluation of the material properties for given ALD base layer and PVD additional elements. An ALD process can then be developed to provide the desired layers, replacing the ALD and PVD combination.
    Type: Grant
    Filed: November 19, 2012
    Date of Patent: May 26, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Prashant B Phatak, Venkat Ananthan, Wayne R French
  • Patent number: 9038650
    Abstract: In one implementation, a method for providing a fluid at a target pressure may include providing a fluid at a velocity to a supply line through a dispenser, measuring a pressure of the fluid flowing through the supply line, comparing the measured pressure with the target pressure, and adjusting the velocity based on the results of the comparison.
    Type: Grant
    Filed: September 18, 2013
    Date of Patent: May 26, 2015
    Assignee: Intermolecular, Inc.
    Inventor: Rajesh Kelekar
  • Patent number: 9040413
    Abstract: A nonvolatile memory device contains a resistive switching memory element with improved device switching performance and lifetime by custom tailoring the average concentration of defects in the resistive switching film and methods of forming the same. The nonvolatile memory element includes a first electrode layer, a second electrode layer, and a resistive switching layer disposed between the first electrode layer and the second electrode layer. The resistive switching layer comprises a first sub-layer and a second sub-layer, wherein the first sub-layer has more defects than the first sub-layer. A method includes forming a first sub-layer on the first electrode layer by a first ALD process and forming a second sub-layer on the first sub-layer by a second ALD process, where the first sub-layer has a different amount of defects than the second sub-layer.
    Type: Grant
    Filed: December 13, 2012
    Date of Patent: May 26, 2015
    Assignees: Intermolecular, Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
    Inventors: Randall J. Higuchi, Chien-Lan Hsueh, Yun Wang
  • Publication number: 20150140838
    Abstract: Methods and apparatus for forming a dielectric layer for use as a gate dielectric are provided. A high-k layer is formed with first ALD process using a halogen-based precursor. The metal in the halogen-based precursor may be at least one of hafnium, zirconium, or titanium. The halogen in the halogen-based precursor may be at least one of fluorine, chlorine, or iodine. In some embodiments, the halogen-based metal precursor includes hafnium chloride. The remainder of the high-k layer is formed with second ALD process using a metal organic-based precursor. The metal in the metal organic-based precursor may be at least one of hafnium, zirconium, or titanium. The organic ligands in the metal organic-based precursor may be at least one of ?-diketonate precursors, alkoxide precursors, amino precursors. In some embodiments, the metal organic-based precursor includes amino precursors.
    Type: Application
    Filed: November 19, 2013
    Publication date: May 21, 2015
    Applicant: Intermolecular Inc.
    Inventors: Kevin Kashefi, Amol Joshi, Salil Mujumdar
  • Publication number: 20150140834
    Abstract: Methods and apparatus for processing using a plasma source for the treatment of semiconductor surfaces are disclosed. The apparatus includes an outer vacuum chamber enclosing a substrate support, a plasma source (either a direct plasma or a remote plasma), and an optional showerhead. Other gas distribution and gas dispersal hardware may also be used. The plasma source may be used to generate activated species operable to alter the surface of the semiconductor materials. Further, the plasma source may be used to generate activated species operable to enhance the nucleation of deposition precursors on the semiconductor surface.
    Type: Application
    Filed: November 18, 2013
    Publication date: May 21, 2015
    Applicant: Intermolecular Inc.
    Inventors: Kevin Kashefi, Frank Greer
  • Publication number: 20150140696
    Abstract: One or more small spot showerhead apparatus are used to provide dopant exposure and/or to deposit materials using CVD, PECVD, ALD, or PEALD on small spots in a site isolated, combinatorial manner. The small spot showerheads may be configured within a larger combinatorial showerhead to allow multi-layer film stacks to be deposited in a combinatorial manner. Anneal processes where the area of the process can be controlled such as laser annealing or site-isolated rapid thermal processing (RTP) can be used to vary the annealing conditions in a combinatorial manner.
    Type: Application
    Filed: November 20, 2013
    Publication date: May 21, 2015
    Applicant: Intermolecular, Inc.
    Inventor: Khaled Ahmed
  • Publication number: 20150140836
    Abstract: Methods and apparatus for processing using a remote plasma source are disclosed. The apparatus includes an outer chamber enclosing a substrate support, a remote plasma source, and a showerhead. A substrate heater can be mounted in the substrate support. A transport system moves the substrate support and is capable of positioning the substrate. Methods are disclosed that discuss the use of blocking species that bind to the surface of the dielectric and retard the etching of the dielectric surface by a doping/passivating species. The surface of the dielectric may be exposed to the blocking species a plurality of times during the process to ensure that the surface is well protected.
    Type: Application
    Filed: November 18, 2013
    Publication date: May 21, 2015
    Applicant: Intermolecular, Inc.
    Inventor: Sandip Niyogi
  • Patent number: 9034690
    Abstract: Embodiments described herein provide methods for forming indium-gallium-zinc oxide (IGZO) devices. A substrate is provided. An IGZO layer is formed above the substrate. A copper-containing layer is formed above the IGZO layer. A wet etch process is performed on the copper-containing layer to form a source region and a drain region above the IGZO layer. The performing of the wet etch process on the copper-containing layer includes exposing the copper-containing layer to an etching solution including a peroxide compound and one of citric acid, formic acid, malonic acid, lactic acid, etidronic acid, phosphonic acid, or a combination thereof.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: May 19, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Jeroen Van Duren, Sang Lee, Zhi-Wen Sun
  • Publication number: 20150130065
    Abstract: Copper can be etched with selectivity to Ta/TaN barrier liner and SiC hardmask layers, for example, to reduce the potential copper contamination. The copper film can be recessed more than the liner to further enhance the protection. Wet etch solutions including a mixture of HF and H2SO4 can be used for selective etching copper with respect to the liner material, for example, the copper film can be recessed between 2 and 3 nm, and the barrier liner film can be recessed between 1.5 and 2 nm.
    Type: Application
    Filed: November 8, 2013
    Publication date: May 14, 2015
    Applicants: Intermolecular Inc.
    Inventors: Anh Duong, Errol Todd Ryan
  • Publication number: 20150132953
    Abstract: Two-step process sequences uniformly etch both tungsten-based and titanium-based structures on a substrate. A sequence of wet etches using peroxide and heated nitric acid uniformly recesses a metal stack that includes W, TiN, and TiAl. W, TiN and TiC are uniformly recessed by a peroxide etch at ˜25 C followed by an acid solution with a very small amount of added peroxide at ˜60 C. TiC is etched without etching trench oxides or other metals in a work-function metal stack by either (1) highly-dilute of ultra-dilute HF at 25-35 C, (2) dilute HCl at 25-60 C, (3) dilute NH4OH at 25-60 C, or (4) solution (2) or (3) with small amounts of peroxide. Other metals in the stack may then be plasma-etched without being blocked by TiC residues.
    Type: Application
    Filed: November 13, 2013
    Publication date: May 14, 2015
    Applicant: Intermolecular Inc.
    Inventors: Gregory Nowling, John Foster
  • Publication number: 20150129826
    Abstract: A flexible and/or transparent nonvolatile memory device can be fabricated on flexible substrates, together with ductile materials or transparent conductive oxide materials, and layers with thicknesses that allow flexibility and transparency. The ductile materials can include Ti, Ni, Nb, or Zr. The transparent conductive materials can include indium tin oxide, zinc oxide or aluminum doped zinc oxide. The nonvolatile memory devices can include resistive switching memory, phase change memory, magnetoresistive random access memory, or spin-transfer torque random access memory.
    Type: Application
    Filed: November 13, 2013
    Publication date: May 14, 2015
    Applicant: Intermolecular Inc.
    Inventor: Yun Wang