Patents Assigned to Intermolecular, Inc.
  • Patent number: 9087864
    Abstract: In some embodiments, apparatus are provided that provide for flexible processing in high productivity combinatorial (HPC) system. The apparatus allow for interchangeable functionality that includes deposition, plasma treatment, ion beam treatment, in-situ annealing, and in-situ metrology. The apparatus are designed so that the functionality may be integrated within a single processing chamber for enhanced flexibility.
    Type: Grant
    Filed: December 19, 2013
    Date of Patent: July 21, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Chen-An Chen, Tony P. Chiang, Frank Greer, Martin Romero, James Tsung
  • Patent number: 9085821
    Abstract: A sputter source is provided. The sputter source includes a shaft extending through a central region of the sputter source. A first end of the shaft is coupled to a drive and a second end of the shaft is coupled to a bottom plate. A first plate having a ramped surface is included where the first plate is stationary. A second plate having a ramped surface is provided where the second plate is disposed above the first plate such that portions of the ramped surfaces contact each other. The second plate is coupled to the shaft, wherein the second plate is operable to rotate and move axially as the shaft rotates in a first direction and wherein the second plate is operable to remain stationary as the shaft rotates in a second direction.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: July 21, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Owen Ho Yin Fong, Kent Riley Child
  • Patent number: 9087978
    Abstract: Embodiments of the invention include nonvolatile memory elements and memory devices comprising the nonvolatile memory elements. Methods for forming the nonvolatile memory elements are also disclosed. The nonvolatile memory element comprises a first electrode layer, a second electrode layer, and a plurality of layers of an oxide disposed between the first and second electrode layers. One of the oxide layers has linear resistance and substoichiometric composition, and the other oxide layer has bistable resistance and near-stoichiometric composition. Preferably, the sum of the two oxide layer thicknesses is between about 20 ? and about 100 ?, and the oxide layer with bistable resistance has a thickness between about 25% and about 75% of the total thickness. In one embodiment, the oxide layers are formed using reactive sputtering in an atmosphere with controlled flows of argon and oxygen.
    Type: Grant
    Filed: February 10, 2015
    Date of Patent: July 21, 2015
    Assignees: Intermolecular, Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
    Inventors: Hieu Pham, Vidyut Gopal, Imran Hashim, Tim Minvielle, Yun Wang, Takeshi Yamaguchi, Hong Sheng Yang
  • Patent number: 9082608
    Abstract: In some embodiments of the present disclosure, an apparatus for combinatorial wet processing includes: a chuck, a substrate located on the chuck, a cell located over the substrate; and a height adjustment mechanism for the cell above the substrate wherein applying compressed air on an O-ring in a gland prevents vertical movement of the cell relative to the position of the substrate.
    Type: Grant
    Filed: December 3, 2012
    Date of Patent: July 14, 2015
    Assignee: Intermolecular, Inc.
    Inventor: Aaron T. Francis
  • Patent number: 9082927
    Abstract: A tunnel barrier layer in a superconducting device, such as a Josephson junction, is made from catalytically grown silicon dioxide at a low temperature (<100 C, e.g., 20-30 C) that does not facilitate oxidation or silicide formation at the superconducting electrode interface. The tunnel barrier begins as a silicon layer deposited on a superconducting electrode and covered by a thin, oxygen-permeable catalytic layer. Oxygen gas is dissociated on contact with the catalytic layer, and the resulting oxygen atoms pass through the catalytic layer to oxidize the underlying silicon. The reaction self-limits when all the silicon is converted to silicon dioxide.
    Type: Grant
    Filed: December 20, 2013
    Date of Patent: July 14, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Dipankar Pramanik, Frank Greer, Andrew Steinbach
  • Patent number: 9081245
    Abstract: Embodiments provided herein describe electrochromic devices and methods for forming electrochromic devices. The electrochromic devices include a transparent substrate, a transparent conducting oxide layer coupled to the transparent substrate, and a layer of electrochromic material coupled to the transparent conducting oxide layer. The transparent conducting oxide layer includes indium and zinc.
    Type: Grant
    Filed: December 11, 2013
    Date of Patent: July 14, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Minh Huu Le, Thai Cheng Chua, Guowen Ding, Minh Anh Nguyen, Yu Wang, Guizhen Zhang
  • Patent number: 9082782
    Abstract: This disclosure provides a method of fabricating a semiconductor stack and associated device, such as a capacitor and DRAM cell. In particular, a bottom electrode has a material selected for lattice matching characteristics. This material may be created from a relatively inexpensive metal oxide which is processed to adopt a conductive, but difficult-to-produce oxide state, with specific crystalline form; to provide one example, specific materials are disclosed that are compatible with the growth of rutile phase titanium dioxide (TiO2) for use as a dielectric, thereby leading to predictable and reproducible higher dielectric constant and lower effective oxide thickness and, thus, greater part density at lower cost.
    Type: Grant
    Filed: October 19, 2012
    Date of Patent: July 14, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Hanhong Chen, Toshiyuki Hirota, Pragati Kumar, Xiangxin Rui, Sunil Shanker
  • Patent number: 9082729
    Abstract: One or more small spot showerhead apparatus are used to provide dopant exposure and/or to deposit materials using CVD, PECVD, ALD, or PEALD on small spots in a site isolated, combinatorial manner. The small spot showerheads may be configured within a larger combinatorial showerhead to allow multi-layer film stacks to be deposited in a combinatorial manner. Anneal processes where the area of the process can be controlled such as laser annealing or site-isolated rapid thermal processing (RTP) can be used to vary the annealing conditions in a combinatorial manner.
    Type: Grant
    Filed: November 20, 2013
    Date of Patent: July 14, 2015
    Assignee: Intermolecular, Inc.
    Inventor: Khaled Ahmed
  • Patent number: 9082793
    Abstract: Embodiments described herein provide indium-gallium-zinc oxide (IGZO) devices, such as IGZO thin-film transistors (TFTs), and methods for forming such devices. A substrate is provided. A gate electrode is formed above the substrate. A gate dielectric layer is formed above the gate electrode. An interface layer is formed above the gate dielectric material. An IGZO channel layer is formed above the interface layer. A source electrode and a drain electrode are formed above the IGZO channel layer. The interface layer includes a material different than that of the gate dielectric layer and the IGZO channel layer.
    Type: Grant
    Filed: December 19, 2013
    Date of Patent: July 14, 2015
    Assignee: Intermolecular, Inc.
    Inventor: Khaled Ahmed
  • Patent number: 9076523
    Abstract: Non linear current response circuits can be used in embedded resistive memory cell for reducing power consumption, together with improving reliability of the memory array. The non linear current response circuits can include two back to back leaky PIN diodes, two parallel anti-directional PIN diodes, two back to back Zener-type metal oxide diodes, or ovonic switching elements, along with current limiting resistor for standby power reduction at the low voltage region. Also, the proposed embedded ReRAM implementation methods based upon 1T2D1R scheme can be integrated into the advanced FEOL process technologies including vertical pillar transistor and/or 3D fin-shaped field effect transistor (FinFET) for realizing a highly compact cell density.
    Type: Grant
    Filed: December 13, 2012
    Date of Patent: July 7, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Mankoo Lee, Tony Chiang, Dipankar Pramanik
  • Patent number: 9076641
    Abstract: Contacts for semiconductor devices and methods of making thereof are disclosed. A method comprises forming a first layer on a semiconductor, the first layer comprising one or more metals; forming a second layer on the first layer, the second layer comprising the one or more metals, nitrogen and oxygen; and heating the first and second layer such that oxygen migrates from the second layer into the first layer and the first layer comprises a sub-stoichiometric metal oxide after heating. Exemplary embodiments use transition metals such as Ti in the first layer. After heating there is a sub-stoichiometric oxide layer of about 2.5 nm thickness between a metal nitride conductor and the semiconductor. The specific contact resistivity is less than about 7×10?9 ?·cm2.
    Type: Grant
    Filed: December 19, 2013
    Date of Patent: July 7, 2015
    Assignee: Intermolecular, Inc.
    Inventor: Khaled Ahmed
  • Patent number: 9076716
    Abstract: The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.
    Type: Grant
    Filed: November 11, 2013
    Date of Patent: July 7, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Thomas R. Boussie, Tony P. Chiang, Alexander Gorer, David E. Lazovsky
  • Patent number: 9076651
    Abstract: SiC substrates are cleaned and provided to a process chamber. In-situ plasma surface treatments are applied to further clean the surface of the substrate. A dielectric interface layer is deposited in-situ to passivate the surface. Metal layers having a low work function are deposited above the dielectric interface layer. The stack is annealed at about 500C in forming gas to form low resistivity ohmic contacts to the SiC substrate. SiC substrates are cleaned and provided to a process chamber. In-situ plasma surface treatments are applied to further clean the surface of the substrate. A silicon oxide dielectric interface layer is deposited in-situ to passivate the surface. Optional plasma surface treatments are applied to further improve the performance of the silicon oxide dielectric interface layer. An aluminum oxide gate dielectric layer is deposited above the silicon oxide dielectric interface layer.
    Type: Grant
    Filed: December 20, 2013
    Date of Patent: July 7, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Khaled Ahmed, Frank Greer, Raj Jammy
  • Patent number: 9076674
    Abstract: A method for combinatorially processing a substrate is provided. The method includes providing a substrate disposed on a substrate support. The method further includes rigidly locking a top portion of a sleeve to a bottom portion of a process head of a combinatorial processing device, where the combinatorial processing device is operable to concurrently process different regions of the substrate differently. The method includes raising the substrate and the substrate support to contact a sealing surface of the sleeve with a surface of the substrate and combinatorially processing the different regions of the substrate.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: July 7, 2015
    Assignee: Intermolecular, Inc.
    Inventor: Satbir Kahlon
  • Publication number: 20150187865
    Abstract: Provided are capacitor stacks for use in integrated circuits and methods of fabricating these stacks. A capacitor stack includes a dielectric layer and one or two inner electrode layers, such as a positive inner electrode layer and a negative inner electrode layer. The inner electrode layers directly interface the dielectric layer. The stack may also include outer electrode layers. The inner electrode layers are either chemically stable or weakly chemically unstable, while in contact with the dielectric layer based on the respective phase diagrams. Furthermore, the electron affinity of the positive inner electrode layer may be less than the electron affinity of the dielectric layer. The sum of the electron affinity and bandgap of the negative inner electrode layer may be less than that of the dielectric layer. In some embodiments, inner electrode layers are formed from heavily doped semiconducting materials, such as gallium arsenide or gallium aluminum arsenide.
    Type: Application
    Filed: December 31, 2013
    Publication date: July 2, 2015
    Applicant: Intermolecular, Inc.
    Inventors: Sergey Barabash, Dipankar Pramanik
  • Publication number: 20150188045
    Abstract: Provided are resistive random access memory (ReRAM) cells and methods of fabricating thereof. The resistive switching nonvolatile memory cells may include a first layer disposed. The first layer may be operable as a bottom electrode. The resistive switching nonvolatile memory cells may also include a second layer disposed over the first layer. The second layer may be operable as a resistive switching layer that is configured to switch between a first resistive state and a second resistive state. The resistive switching nonvolatile memory cells may include a third layer disposed over the second layer. The third layer may be operable as a resistive layer that is configured to determine, at least in part, an electrical resistivity of the resistive switching nonvolatile memory element. The third layer may include a semi-metallic material. The resistive switching nonvolatile memory cells may include a fourth layer that may be operable as a top electrode.
    Type: Application
    Filed: December 26, 2013
    Publication date: July 2, 2015
    Applicant: Intermolecular Inc.
    Inventors: Yun Wang, Federico Nardi, Milind Weling
  • Publication number: 20150188043
    Abstract: Provided are resistive random access memory (ReRAM) cells and methods of fabricating thereof. The ReRAM cells may include a first layer operable as a bottom electrode and a second layer operable to switch between a first resistive state and a second resistive state. The ReRAM cells may include a third layer that includes a material having a lower breakdown voltage than the second layer and further includes a conductive path created by electrical breakdown. The third layer may include any of tantalum oxide, titanium oxide, and zirconium oxide. Moreover, the third layer may include a binary nitride or a ternary nitride. The binary nitrides may include any of tantalum, titanium, tungsten, and molybdenum. The ternary nitrides may include silicon or aluminum and any of tantalum, titanium, tungsten, and molybdenum. The ReRAM cells may further include a fourth layer operable as a top electrode.
    Type: Application
    Filed: December 26, 2013
    Publication date: July 2, 2015
    Applicant: Intermolecular Inc.
    Inventor: Yun Wang
  • Publication number: 20150188039
    Abstract: Provided are resistive random access memory (ReRAM) cells and methods of fabricating thereof. The ReRAM cells may include a first layer operable as a bottom electrode and a second layer operable to switch between at least a first resistive state and a second resistive state. The ReRAM cells may include a third layer including a first oxygen getter material and a fourth layer including a metal silicon nitride. The ReRAM cells may further include a fifth layer including a second oxygen getter material. The first oxygen getter material and the second oxygen getter material may be more reactive with oxygen than the metal silicon nitride. A work function of the first oxygen getter material and a work function of the second oxygen getter material may be substantially lower than a work function of the metal silicon nitride. The ReRAM cells may include a sixth layer operable as a top electrode.
    Type: Application
    Filed: December 26, 2013
    Publication date: July 2, 2015
    Applicant: Intermolecular Inc.
    Inventors: Yun Wang, Vidyut Gopal, Mihir Tendulkar
  • Publication number: 20150187841
    Abstract: Provided are resistive random access memory (ReRAM) cells and methods of fabricating thereof. A ReRAM cell includes an embedded resistor and a variable resistance layer that are interconnected in series by, for example, stacking the two. The embedded resistor prevents excessive electrical currents through the variable resistance layer thereby preventing its over-programming. The embedded resistor is configured to maintain a constant resistance during the operation of the ReRAM cell, such as applying switching currents and changing the resistance of the variable resistance layer. Specifically, the embedded resistor may be electrically broken down during fabrication of the ReRAM cell to improve the subsequent stability of the embedded resistance to electrical fields during operation of the ReRAM cell. The embedded resistor may be made from materials that allow this initial breakdown and to avoid future breakdowns, such metal silicon nitrides, metal aluminum nitrides, and metal boron nitrides.
    Type: Application
    Filed: December 26, 2013
    Publication date: July 2, 2015
    Applicant: Intermolecular Inc.
    Inventors: Yun Wang, Mihir Tendulkar, Milind Weling
  • Publication number: 20150187596
    Abstract: Provided are methods for processing semiconductor substrates or, more specifically, etching silicon containing antireflective coatings (SiARCs) from the substrates while preserving silicon oxides layers disposed on the same substrates. An etching solution including sulfuric acid and hydrofluoric acid may be used for these purposes. In some embodiments, the weight ratio of sulfuric acid to hydrofluoric acid in the etching solution is between about 15:1 and 100:1 (e.g., about 60:1). The temperature of the etching solution may be between about 30° C. and 50° C. (e.g., about 40° C., during etching). It has been found that such processing conditions provide a SiARC etching rate of at least about 50 nanometers per minute and selectivity of SiARC over silicon oxide of greater than about 10:1 or even greater than about 50:1. The same etching solution may be also used to remove photoresist, organic dielectric, and titanium nitride.
    Type: Application
    Filed: December 26, 2013
    Publication date: July 2, 2015
    Applicant: Intermolecular Inc.
    Inventors: Gregory Nowling, John Fitzsimmons