Patents Assigned to Intermolecular, Inc.
  • Publication number: 20150179684
    Abstract: Methods for HPC techniques are applied to the processing of site-isolated regions (SIR) on a substrate to form at least a portion of a TFT device used in display applications. The processing may be applied to at least one of gate dielectric deposition, gate dielectric patterning, metal-based semiconductor deposition, metal-based patterning, etch stop deposition, etch stop patterning, source/drain deposition, or source/drain patterning. The SIRs may be defined during the deposition process with uniform deposition within each SIR or the SIRs may be defined subsequent to the deposition of layers wherein the layers are deposited with a gradient in one or more properties across the substrate.
    Type: Application
    Filed: December 19, 2013
    Publication date: June 25, 2015
    Applicant: Intermolecular, Inc.
    Inventors: Jeroen Van Duren, Sang Lee, Minh Huu Le
  • Publication number: 20150179448
    Abstract: Embodiments described herein provide method for forming crystalline indium-gallium-zinc oxide (IGZO). A substrate is provided. An IGZO layer is formed above the substrate. The IGZO layer is annealed in an environment consisting essentially of nitrogen gas.
    Type: Application
    Filed: December 20, 2013
    Publication date: June 25, 2015
    Applicants: Intermolecular, Inc.
    Inventors: Sang Lee, Stuart Brinkley, Yoon-Kyung Chang, Seon-Mee Cho, Min-Cheol Kim, Kwon-Sik Park, Woosup Shin
  • Publication number: 20150179918
    Abstract: In a “window-junction” formation process for Josephson junction fabrication, a spacer dielectric is formed over the first superconducting electrode layer, then an opening (the “window” is formed to expose the part of the electrode layer to be used for the junction. In an atomic layer deposition (ALD) chamber (or multi-chamber sealed system) equipped with direct or remote plasma capability, the exposed part of the electrode is sputter-etched with Ar, H2, or a combination to remove native oxides, etch residues, and other contaminants. Optionally, an O2 or O3 pre-clean may precede the sputter etch. When the electrode is clean, the tunnel barrier layer is deposited by ALD in-situ without further oxidant exposure.
    Type: Application
    Filed: December 23, 2013
    Publication date: June 25, 2015
    Applicant: Intermolecular Inc.
    Inventors: Frank Greer, Andy Steinbach
  • Publication number: 20150179509
    Abstract: Methods and apparatus for processing using a remote plasma source are disclosed. The apparatus includes an outer chamber enclosing a substrate support, a remote plasma source, and a showerhead. A substrate heater can be mounted in the substrate support. A transport system moves the substrate support and is capable of positioning the substrate. The plasma system may be used to generate activated species. The activated species can be used to treat the surfaces of low-k and/or ultra low-k dielectric materials to facilitate improved deposition of diffusion barrier materials.
    Type: Application
    Filed: December 19, 2013
    Publication date: June 25, 2015
    Applicant: Intermolecular, Inc.
    Inventors: Ratsamee Limdulpaiboon, Frank Greer, Chi-I Lang, J. Watanabe, Wenxian Zhu
  • Publication number: 20150179914
    Abstract: A interconnect structure for superconducting devices uses a material with a high melting point for the superconductive wiring; examples include refractory metals such as niobium. Because the wiring is tolerant of high temperatures, the interlayer dielectric (e.g., amorphous silicon with or without small amounts of passivants such as hydrogen or fluorine) may be subjected to rapid thermal annealing to reduce defects by driving off excess hydrogen, and optionally partially crystallizing the material.
    Type: Application
    Filed: December 23, 2013
    Publication date: June 25, 2015
    Applicant: Intermolecular Inc.
    Inventors: Frank Greer, Andy Steinbach, Wenxian Zhu
  • Publication number: 20150179937
    Abstract: Provided are resistive random access memory (ReRAM) cells and methods of fabricating them using metal organic chemical vapor deposition (MOCVD). Specifically, MOCVD is used to form an embedded resistor that includes two different nitrides. The first nitride may be more conductive than the second nitride. The concentrations of these nitrides may vary throughout the thickness of the embedded resistor. This variability may be achieved by changing flow rates of MOCVD precursors during formation of the embedded resistor. The second nitride may be concentrated in the middle of the embedded resistor, while the first nitride may be present at interface surfaces of the embedded resistor. As such, the first nitride protects the second nitride from exposure to other components and/or environments and prevents oxidation of the second nitride. Controlling the distribution of the two nitrides within the embedded resistor allows using new materials and achieving consistent performance of the embedded resistor.
    Type: Application
    Filed: December 23, 2013
    Publication date: June 25, 2015
    Applicant: Intermolecular Inc.
    Inventors: Chien-Lan Hsueh, Yun Wang
  • Patent number: 9065040
    Abstract: A method of fabricating a resistive random access memory (ReRAM) cell may include forming a set of nanolaminate structures over an electrode, such that each structure includes at least one first element oxide layer and at least one second element oxide layer. The overall set is operable as a resistive switching layer in a ReRAM cell. In this set, an average atomic ratio of the first element to the second element is different in at least two nanolaminate structures. This ratio may be less in nanolaminate structures that are closer to electrodes than in the middle nanolaminate structures. Alternatively, this ratio may increase from one end of the set to another. The first element may be less electronegative than the second elements. The first element may be hafnium, while the second element may be one of zirconium, aluminum, titanium, tantalum, or silicon.
    Type: Grant
    Filed: October 9, 2014
    Date of Patent: June 23, 2015
    Assignees: Intermolecular, Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
    Inventors: Chien-Lan Hsueh, Vidyut Gopal, Randall J. Higuchi, Takeshi Yamaguchi
  • Publication number: 20150170912
    Abstract: Embodiments provided herein describe systems and methods for forming semiconductor devices. A semiconductor substrate is provided. The semiconductor substrate is exposed to bromine radicals, hydrogen radicals, or a combination thereof. An oxide layer is formed above the semiconductor substrate. The semiconductor substrate is held within a controlled atmosphere at least from the completion of the exposing of the semiconductor substrate to bromine radicals, hydrogen radicals, or a combination thereof and the beginning of the forming of the oxide layer.
    Type: Application
    Filed: December 13, 2013
    Publication date: June 18, 2015
    Applicant: Intermolecular, Inc.
    Inventor: Khaled Ahmed
  • Publication number: 20150170837
    Abstract: A hafnium oxide-aluminum oxide-hafnium oxide (HAH) based multilayer stack is used as the dielectric material in the formation of decoupling capacitors employed in microelectronic logic circuits. In some embodiments, the thickness of the aluminum oxide layer in the HAH multilayer stack varies between 0.1 nm and 1 nm. In some embodiments, the thickness of the two hafnium oxide layers varies between about 3.0 nm and 4.5 nm.
    Type: Application
    Filed: December 18, 2013
    Publication date: June 18, 2015
    Applicant: Intermolecular, Inc.
    Inventors: Sucharita Madhukar, Nobumichi Fuchigami, Imran Hashim, Wen Wu
  • Publication number: 20150171095
    Abstract: Designs and programming schemes can be used to form memory arrays having low power, high density and good data retention. High resistance interconnect lines can be used to partition the memory array can be partitioned into areas of high data retention and areas of low data retention. Variable gate voltages can be used in control transistors to store memory values having different data retention characteristics.
    Type: Application
    Filed: December 18, 2013
    Publication date: June 18, 2015
    Applicant: Intermolecular, Inc.
    Inventors: Yun Wang, Imran Hashim
  • Publication number: 20150170923
    Abstract: Selective wet etching is used to produce feature sizes of reduced width in semiconductor devices. An initial patterning step (e.g., photolithography) forms a pillar of an initial width from at least a selected first layer and an overlayer. A wet etchant that is selective to the selected layer undercuts the sidewalls of the selected layer to a smaller width while leaving at least part of the overlayer in place to protect the top surface of the selected layer. The selected layer becomes a narrow “stem” within the pillar, and may have dimensions below the resolution limit of the technique used for the initial patterning. For some devices, voids may be intentionally left in a fill layer around the stem for electrical or thermal insulation.
    Type: Application
    Filed: December 18, 2013
    Publication date: June 18, 2015
    Applicant: Intermolecular, Inc.
    Inventors: Federico Nardi, Randall J. Higuchi, Robert A. Huertas, Yun Wang
  • Publication number: 20150171260
    Abstract: Embodiments provided herein describe methods for forming nitrogen-doped zinc telluride, such as for use in photovoltaic devices. The zinc telluride layer is formed using physical vapor deposition (PVD) at a processing temperature of between about 100° C. and about 450° C. in a gaseous environment that includes between about 3% and about 10% by volume of nitrogen gas.
    Type: Application
    Filed: December 17, 2013
    Publication date: June 18, 2015
    Applicant: Intermolecular Inc.
    Inventors: Wei Liu, Amir Bayati, Zhi-Wen Wen Sun
  • Publication number: 20150170908
    Abstract: Provided are apparatus for high productivity combinatorial (HPC) processing of semiconductor substrates and HPC methods. An apparatus includes a showerhead and two or more self-controlled one-way valves connected to the showerhead and used for controlling flow of different processing gases into the showerhead. The self-controlled one-way valves are not externally controlled by any control systems. Instead, these valves open and close in response to preset conditions, such as pressure differentials and/or flow differentials. One example of such self-controlled one-way valves is a check valve. These valves generally allow the flow only in one direction, i.e., into the showerhead. Furthermore, lack of external controls and specific mechanical designs allow positioning these self-controlled one-way valves in close proximity to the showerhead thereby reducing the dead volume between the valves and the showerhead and also operating these valves at high temperatures.
    Type: Application
    Filed: December 17, 2013
    Publication date: June 18, 2015
    Applicant: Intermolecular Inc.
    Inventors: Chien-Lan Hsueh, Chen-An Chen, Tony P. Chiang, Martin Romero, James Tsung
  • Publication number: 20150166795
    Abstract: Embodiments provided herein describe optical coatings, panels having optical coatings thereon, and methods for forming optical coatings and panels. A substrate is provided. A coating is formed above the substrate. The coating includes a plurality of micro-particles including a UV-absorbing material and has a surfaces roughness suitable to provide the coating with anti-glare properties.
    Type: Application
    Filed: December 17, 2013
    Publication date: June 18, 2015
    Applicant: Intermolecular Inc.
    Inventors: Nikhil Kalyankar, Scott Jewhurst, Liang Liang, James Mulligan
  • Publication number: 20150171227
    Abstract: Embodiments described herein provide indium-gallium-zinc oxide (IGZO) devices, such as IGZO thin-film transistors (TFTs), and methods for forming such devices. A substrate is provided. A gate electrode is formed above the substrate. An IGZO channel layer is formed above the gate electrode. The IGZO channel layer has a first sub-layer including c-IGZO and a second sub-layer including a-IGZO. A source electrode and a drain electrode are formed above the IGZO channel layer.
    Type: Application
    Filed: December 18, 2013
    Publication date: June 18, 2015
    Applicant: Intermolecular, Inc.
    Inventor: Khaled Ahmed
  • Patent number: 9059156
    Abstract: Methods to form metal gate transistor devices are disclosed. Erbium silicide layers can be used in CMOS transistors in which the work function of the erbium silicide layers can be tuned for use in PMOS and NMOS devices. A nanolaminate sputtering approach can be used in which silicon and erbium layers are alternatingly deposited to determine optimum layer properties, composition profiles, and erbium to silicon ratios for a particular gate stack.
    Type: Grant
    Filed: November 26, 2013
    Date of Patent: June 16, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Zhendong Hong, Ashish Bodke, Olov Karlsson
  • Patent number: 9059223
    Abstract: A combinatorial processing system having modular dispense heads is provided. The modular dispense heads are disposed on a rail system enabling an adjustable pitch of the modular dispense heads for the combinatorial processing. The modular dispense heads are configured so that sections of the modular dispense heads are detachable in order to accommodate various processes through a first section without having to completely disconnect and re-connect facilities to a second section.
    Type: Grant
    Filed: December 11, 2008
    Date of Patent: June 16, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Kurt Weiner, Aaron Francis, Ken Williams
  • Publication number: 20150162111
    Abstract: Embodiments provided herein describe transparent conductive films and methods for forming transparent conductive films. A transparent substrate is provided. A first layer is formed above the transparent substrate. The first layer includes nickel. A second layer is formed above the first layer. The second layer includes silver and palladium. A third layer is formed above the second layer. The third layer comprises nickel.
    Type: Application
    Filed: December 10, 2013
    Publication date: June 11, 2015
    Applicant: Intermolecular, Inc.
    Inventors: Guowen Ding, Minh Huu Le
  • Publication number: 20150158762
    Abstract: Embodiments provided herein describe abrasion resistant glass coatings and methods for forming abrasion resistant glass coatings. A glass body is provided. An abrasion resistant layer is formed above the glass body. The abrasion resistant layer includes an amorphous carbon. A pull-up layer is formed above the abrasion resistant layer. A protective layer is formed above the pull-up layer. The protective layer may include a titanium-based nitride. The pull-up lay may include tungsten oxide, zirconium oxide, manganese oxide, molybdenum oxide, titanium oxide, or a combination thereof.
    Type: Application
    Filed: December 5, 2013
    Publication date: June 11, 2015
    Applicant: Intermolecular Inc.
    Inventors: Guowen Ding, Minh Huu Le
  • Patent number: 9054634
    Abstract: Provided are voltage controlling assemblies that may be operable as clocks and/or oscillators. A voltage controlling assembly may include a comparator and a variable resistance device connected to one differential signal node of the comparator. The other node may be connected to a capacitor. Alternatively, no capacitors may be used in the assembly. During operation of the voltage controlling assembly, the variable resistance device changes its resistance between two different resistive states. The change from a low to a high resistive state may be associated with a voltage spike at the differential signal node of the comparator and trigger a response from the comparator. This resistance change may have a delay determining an operating frequency of the voltage controlling assembly. Specifically, the variable resistance device in the low resistive state may be kept for a period of time at a certain voltage before it switches into the high resistive state.
    Type: Grant
    Filed: December 26, 2013
    Date of Patent: June 9, 2015
    Assignee: Intermolecular, Inc.
    Inventors: Federico Nardi, Yun Wang