Patents Assigned to Intermolecular, Inc.
  • Patent number: 9269567
    Abstract: Apparatus for high productivity combinatorial (HPC) processing of semiconductor substrates and HPC methods are described. An apparatus includes a showerhead and two or more pressure-controlled one-way valves connected to the showerhead and used for controlling flow of different processing gases into the showerhead. The pressure-controlled one-way valves are not externally controlled by any control systems. Instead, these valves open and close in response to preset conditions, such as pressure differentials and/or flow differentials. One example of such pressure-controlled one-way valves is a check valve. These valves generally allow the flow only in one direction, i.e., into the showerhead. Furthermore, lack of external controls and specific mechanical designs allow positioning these pressure-controlled one-way valves in close proximity to the showerhead thereby reducing the dead volume between the valves and the showerhead and also operating these valves at high temperatures.
    Type: Grant
    Filed: December 17, 2013
    Date of Patent: February 23, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Chien-Lan Hsueh, Chen-An Chen, Tony P. Chiang, Martin Romero, James Tsung
  • Publication number: 20160035631
    Abstract: ALD of HfxAlyCz films using hafnium chloride (HfCl4) and Trimethylaluminum (TMA) precursors can be combined with post-deposition anneal processes and ALD liners to control the device characteristics in high-k metal-gate devices. Variation of the HfCl4 pulse time allows for control of the Al % incorporation in the HfxAlyCz film in the range of 10-13%. Combinatorial process tools can be employed for rapid electrical and materials characterization of various materials stacks. The effective work function (EWF) in metal oxide semiconductor capacitor (MOSCAP) devices with the HfxAlyCz work function layer coupled with ALD deposited HfO2 high-k gate dielectric layers was quantified to be mid-gap at ˜4.6 eV. Thus, HfxAlyCz is a promising metal gate work function material allowing for the tuning of device threshold voltages (Vth) for anticipated multi-Vth integrated circuit (IC) devices.
    Type: Application
    Filed: December 2, 2013
    Publication date: February 4, 2016
    Applicants: GLOBALFOUNDRIES, INC., INTERMOLECULAR INC.
    Inventors: Albert Sanghyup Lee, Paul Besser, Kisik Choi, Edward L Haywood, Hoon Kim, Salil Mujumdar
  • Patent number: 9252360
    Abstract: ALD processing techniques for forming non-volatile resistive-switching memories are described. In one embodiment, a method includes forming a first electrode on a substrate, maintaining a pedestal temperature for an atomic layer deposition (ALD) process of less than 100° Celsius, forming at least one metal oxide layer over the first electrode, wherein the forming the at least one metal oxide layer is performed using the ALD process using a purge duration of less than 20 seconds, and forming a second electrode over the at least one metal oxide layer.
    Type: Grant
    Filed: August 25, 2014
    Date of Patent: February 2, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Nobumichi Fuchigami, Pragati Kumar, Prashant B Phatak
  • Patent number: 9246097
    Abstract: Provided are resistive random access memory (ReRAM) cells having diffusion barrier layers formed from various materials, such as beryllium oxide or titanium silicon nitrides. Resistive switching layers used in ReRAM cells often need to have at least one inert interface such that substantially no materials pass through this interface. The other (reactive) interface may be used to introduce and remove defects from the resistive switching layers causing the switching. While some electrode materials, such as platinum and doped polysilicon, may form inert interfaces, these materials are often difficult to integrate. To expand electrode material options, a diffusion barrier layer is disposed between an electrode and a resistive switching layer and forms the inert interface with the resistive switching layer. In some embodiments, tantalum nitride and titanium nitride may be used for electrodes separated by such diffusion barrier layers.
    Type: Grant
    Filed: March 11, 2015
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Yun Wang, Imran Hashim
  • Patent number: 9245743
    Abstract: Embodiments provided herein describe high-k dielectric layers and methods for forming high-k dielectric layers. A substrate is provided. The substrate includes a semiconductor material. The substrate is exposed to a hafnium precursor. The substrate is exposed to a zirconium precursor. The substrate is exposed to an oxidant only after the exposing of the substrate to the hafnium precursor and the exposing of the substrate to the zirconium precursor. The exposing of the substrate to the hafnium precursor, the exposing of the substrate to the zirconium precursor, and the exposing of the substrate to the oxidant causes a layer to be formed over the substrate. The layer includes hafnium, zirconium, and oxygen.
    Type: Grant
    Filed: December 17, 2013
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Khaled Ahmed, Frank Greer
  • Patent number: 9245848
    Abstract: Methods of modifying a patterned semiconductor substrate are presented including: providing a patterned semiconductor substrate surface including a dielectric region and a conductive region; and applying an amphiphilic surface modifier to the dielectric region to modify the dielectric region. In some embodiments, modifying the dielectric region includes modifying a wetting angle of the dielectric region. In some embodiments, modifying the wetting angle includes making a surface of the dielectric region hydrophilic. In some embodiments, methods further include applying an aqueous solution to the patterned semiconductor substrate surface. In some embodiments, the conductive region is selectively enhanced by the aqueous solution. In some embodiments, methods further include providing the dielectric region formed of a low-k dielectric material. In some embodiments, applying the amphiphilic surface modifier modifies an interaction of the low-k dielectric region with a subsequent process.
    Type: Grant
    Filed: September 17, 2014
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Anh Duong, Tony Chiang, Zachary M. Fresco, Nitin Kumar, Chi-I Lang, Jinhong Tong, Anna Tsizelmon
  • Patent number: 9246087
    Abstract: Provided are resistive switching memory cells and method of forming such cells. A memory cell includes a resistive switching layer disposed between two buffer layers. The electron barrier height of the material used for each buffer layer is less than the electron barrier height of the material used for the resistive switching layer. Furthermore, the thickness of each buffer layer may be less than the thickness of the resistive switching layer. The buffer layers reduce diffusion between the resistive switching layer and electrodes. Furthermore, the buffer layers improve data retention and prevent unintentional resistive switching when a reading signal is applied to the memory cell. The reading signal uses a low voltage and most of the electron tunneling is blocked by the buffer layers during this operation. On the other hand, the buffer layers allow electrode tunneling at higher voltages used for forming and switching signals.
    Type: Grant
    Filed: November 24, 2014
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Yun Wang, Federico Nardi
  • Patent number: 9245744
    Abstract: According to various embodiments of the disclosure, an apparatus and method for enhanced deposition and etch techniques is described, including a pedestal, the pedestal having at least two electrodes embedded in the pedestal, a showerhead above the pedestal, a plasma gas source connected to the showerhead, wherein the showerhead is configured to deliver plasma gas to a processing region between the showerhead and the substrate and a power source operably connected to the showerhead and the at least two electrodes with plasma being substantially contained in an area which corresponds with one electrode of the at least two electrodes.
    Type: Grant
    Filed: April 15, 2014
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Sunil Shanker, Tony P. Chiang, Chi-I Lang
  • Patent number: 9246062
    Abstract: Transparent ohmic contacts to p-GaN and other high-work-function (?4.2 eV) semiconductors are fabricated from zinc stannate (e.g., ZnSnO3). ZnO and SnO2 may be sputtered from separate targets and annealed to form the zinc stannate. The Zn:Sn ratio may be tuned over the range between 1:2 and 2:1 to optimize bandgap, work function, conductivity, and transparency for the particular semiconductor and wavelength of interest. Conductivity may be improved by crystallizing the zinc stannate, by doping with up to 5 wt % Al or In, or both.
    Type: Grant
    Filed: April 23, 2014
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Jianhua Hu, Heng Kai Hsu, Tong Ju, Minh Huu Le, Sandeep Nijhawan, Teresa B. Sapirman
  • Patent number: 9246099
    Abstract: Compound layers, such as metal silicon nitrides, are formed by ALD or CVD from precursors with incompatible reaction temperature ranges. The substrate is held at a temperature within the lower reaction temperature range (e.g., that of a metal precursor). The low-temperature precursor and its reactant react to form an ALD monolayer or thin CVD layer. The high-temperature precursor and its reactant are pulsed in the chamber, and the substrate is irradiated with ultraviolet light. The ultraviolet light adds energy to the system to overcome the reaction barrier despite the substrate temperature being below the minimum reaction temperature of the high-temperature precursor.
    Type: Grant
    Filed: November 12, 2014
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Chien-Lan Hsueh, Randall J. Higuchi
  • Patent number: 9246096
    Abstract: Embodiments of the invention generally relate to nonvolatile memory devices and methods for manufacturing such memory devices. The methods for forming improved memory devices, such as a ReRAM cells, provide optimized, atomic layer deposition (ALD) processes for forming a metal oxide film stack which contains at least one hard metal oxide film (e.g., metal is completely oxidized or substantially oxidized) and at least one soft metal oxide film (e.g., metal is less oxidized than hard metal oxide). The soft metal oxide film is less electrically resistive than the hard metal oxide film since the soft metal oxide film is less oxidized or more metallic than the hard metal oxide film. In one example, the hard metal oxide film is formed by an ALD process utilizing ozone as the oxidizing agent while the soft metal oxide film is formed by another ALD process utilizing water vapor as the oxidizing agent.
    Type: Grant
    Filed: February 17, 2015
    Date of Patent: January 26, 2016
    Assignees: Intermolecular, Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
    Inventors: Zhendong Hong, Vidyut Gopal, Imran Hashim, Randall J. Higuchi, Tim Minvielle, Hieu Pham, Takeshi Yamaguchi
  • Patent number: 9246091
    Abstract: A metal silicon oxide barrier layer between a nitride electrode containing the same metal and an oxide variable-resistance layer in a ReRAM cell prevents the metal from diffusing into the variable-resistance layer and prevents oxygen from diffusing into and oxidizing the electrode. Compound oxides of the same metal and silicon with varying stoichiometries and metal/silicon ratios may optionally replace part or all of the variable-resistance layer, a defect-reservoir layer, or both. The metal nitride electrode may include a metal silicon nitride current-limiting portion. Optionally, all the layers sharing the common metal may be formed in-situ as part of a single unit process, such as atomic layer deposition.
    Type: Grant
    Filed: July 23, 2014
    Date of Patent: January 26, 2016
    Assignees: Intermolecular, Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
    Inventors: Yun Wang, Federico Nardi
  • Patent number: 9245793
    Abstract: Methods and apparatus for processing using a remote plasma source are disclosed. The apparatus includes an outer chamber enclosing a substrate support, a remote plasma source, and a showerhead. A substrate heater can be mounted in the substrate support. A transport system moves the substrate support and is capable of positioning the substrate. The plasma system may be used to generate activated species. The activated species can be used to treat the surfaces of low-k and/or ultra low-k dielectric materials to facilitate improved deposition of diffusion barrier materials.
    Type: Grant
    Filed: December 19, 2013
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Ratsamee Limdulpaiboon, Frank Greer, Chi-I Lang, J. Watanabe, Wenxian Zhu
  • Patent number: 9246013
    Abstract: Embodiments described herein provide indium-gallium-zinc oxide (IGZO) devices, such as IGZO thin-film transistors (TFTs), and methods for forming such devices. A substrate is provided. A gate electrode is formed above the substrate. An IGZO channel layer is formed above the gate electrode. The IGZO channel layer has a first sub-layer including c-IGZO and a second sub-layer including a-IGZO. A source electrode and a drain electrode are formed above the IGZO channel layer.
    Type: Grant
    Filed: December 18, 2013
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventor: Khaled Ahmed
  • Patent number: 9245649
    Abstract: A nonvolatile sample and hold circuit can include a resistive switching circuit, a sample circuit, a reset circuit, and a converter circuit. The resistive switching circuit can be operable to accept an input voltage Vg, and provide a resistance response Rrs that corresponds to the input signal Vg. The sampling circuit can be operable to sample an input signal such as an input voltage Vin, to provide a sampled voltage Vg. The reset circuit can be operable to reset the resistive switching circuit to a high resistance state. The converter circuit can be operable to convert the resistive switching circuit to an output voltage. The novel sample and hold circuit can have no issues related to charge injection, no settling time and instantaneous sampling time, together with potentially infinite hold time.
    Type: Grant
    Filed: December 17, 2013
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Federico Nardi, Ryan C. Clarke, Yun Wang
  • Patent number: 9243321
    Abstract: Ternary metal nitride layers suitable for thin-film resistors are fabricated by forming constituent layers of complementary components (e.g., binary nitrides of the different metals, or a binary nitride of one metal and a metallic form of the other metal), then annealing the constituent layers to interdiffuse the materials, thus forming the ternary metal nitride. The constituent layers (e.g., 2-5 nm thick) may be sputtered from binary metal nitride targets, from metal targets in a nitrogen-containing ambient, or from metal targets in an inert ambient. Optionally, a nitrogen-containing ambient may also be used for the annealing. The annealing may be 10 seconds to 10 minutes at 500-1000° C. and may also process another component on the same substrate (e.g., activate a diode).
    Type: Grant
    Filed: December 30, 2013
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventor: Mihir Tendulkar
  • Patent number: 9246085
    Abstract: Filament size and shape in a ReRAM stack can be controlled by doping layers of a variable-resistance stack to change the crystallization temperature. This changes the density of the grain boundaries that form during annealing and provide minimal-resistance paths for the migration of charged defects. Hf, Zr, or Ti decreases the crystallization temperature and narrows the filament, while Si or N increases the crystallization temperature and widens the filament. Tapered filaments are of interest: The narrow tip requires little energy to break and re-form, enabling the cell to operate at low power, yet the wider body and base are insensitive to entropic behavior of small numbers of defects, enabling the cell to retain data for long periods.
    Type: Grant
    Filed: July 23, 2014
    Date of Patent: January 26, 2016
    Assignees: Intermolecular, Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
    Inventor: Yun Wang
  • Patent number: 9246092
    Abstract: Selector elements that can be suitable for nonvolatile memory device applications are disclosed. The selector element can have low leakage currents at low voltages to reduce sneak current paths for non-selected devices, and higher leakage currents at higher voltages to minimize voltage drops during device switching. The selector element can include insulator layers between the semiconductor layer and the metal layers to lower the leakage current of the device. The metal layers of the selector element can include conductive materials such as tungsten, titanium nitride, or combinations thereof.
    Type: Grant
    Filed: November 26, 2014
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Ashish Bodke, Mark Clark, Kevin Kashefi, Prashant B. Phatak, Dipankar Pramanik
  • Patent number: 9245941
    Abstract: A YBCO-based conductive material can be used as an electrode, which can contact a dielectric such as a high k dielectric. Alternatively, a material with a narrow conduction band can be used as an electrode, which can contact a dielectric such as a high k dielectric. By aligning the dielectric with the band gap of the YBCO-based electrode or with the band gap of the narrow-band conductive material electrode, e.g., the conduction band minimum of the dielectric falls into one of the band gaps of the YBCO-based or narrow-band conductive material, thermionic leakage through the dielectric can be reduced, since the excited electrons or holes in the electrode would need higher thermal excitation energy to overcome the band gap before passing through the dielectric layer.
    Type: Grant
    Filed: December 26, 2013
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Sergey Barabash, Mankoo Lee, Dipankar Pramanik
  • Patent number: 9246094
    Abstract: Provided are resistive random access memory (ReRAM) cells and methods of fabricating thereof. The resistive switching nonvolatile memory cells may include a first layer disposed. The first layer may be operable as a bottom electrode. The resistive switching nonvolatile memory cells may also include a second layer disposed over the first layer. The second layer may be operable as a resistive switching layer that is configured to switch between a first resistive state and a second resistive state. The resistive switching nonvolatile memory cells may include a third layer disposed over the second layer. The third layer may be operable as a resistive layer that is configured to determine, at least in part, an electrical resistivity of the resistive switching nonvolatile memory element. The third layer may include a semi-metallic material. The resistive switching nonvolatile memory cells may include a fourth layer that may be operable as a top electrode.
    Type: Grant
    Filed: December 26, 2013
    Date of Patent: January 26, 2016
    Assignee: Intermolecular, Inc.
    Inventors: Yun Wang, Federico Nardi, Milind Weling