Patents Examined by Herve Assouman
  • Patent number: 9802815
    Abstract: A method for fabricating a MEMS device includes depositing and patterning a first sacrificial layer onto a silicon substrate, the first sacrificial layer being partially removed leaving a first remaining oxide. Further, the method includes depositing a conductive structure layer onto the silicon substrate, the conductive structure layer making physical contact with at least a portion of the silicon substrate. Further, a second sacrificial layer is formed on top of the conductive structure layer. Patterning and etching of the silicon substrate is performed stopping at the second sacrificial layer. Additionally, the MEMS substrate is bonded to a CMOS wafer, the CMOS wafer having formed thereupon a metal layer. An electrical connection is formed between the MEMS substrate and the metal layer.
    Type: Grant
    Filed: December 2, 2015
    Date of Patent: October 31, 2017
    Assignee: INVENSENSE, INC.
    Inventors: Michael Julian Daneman, Mei-Lin Chan, Martin Lim, Fariboz Assaderaghi, Erhan Polatkan Ata
  • Patent number: 9799563
    Abstract: A fan-out wafer level chip package structure and the manufacturing method thereof are provided. The method includes the steps of providing a supporting plate having a removable tape formed on the supporting plate, placing a plurality of chips on the removable tape, applying an adhesive layer on a back surface of each of the chips, providing a conductive cover for covering all chips and isolating the chips from each other by a plurality of partitions, injecting a molding compound into an inside of the conductive cover and curing the molding compound for forming an encapsulation, separating the encapsulation from the supporting plate, forming a connection layer on an active surface of each of the chips to establish electrical connections, and performing a cutting process to divide the encapsulation into a plurality of the package structures.
    Type: Grant
    Filed: July 3, 2015
    Date of Patent: October 24, 2017
    Assignees: NIKO SEMICONDUCTOR CO., LTD., SUPER GROUP SEMICONDUCTOR CO., LTD.
    Inventors: Chih-Cheng Hsieh, Hsiu-Wen Hsu
  • Patent number: 9793171
    Abstract: An integrated circuit transistor is formed on a substrate. A trench in the substrate is at least partially filled with a metal material to form a source (or drain) contact buried in the substrate. The substrate further includes a source (or drain) region in the substrate which is in electrical connection with the source (or drain) contact. The substrate further includes a channel region adjacent to the source (or drain) region. A gate dielectric is provided on top of the channel region and a gate electrode is provided on top of the gate dielectric. The substrate may be of the silicon on insulator (SOI) or bulk type. The buried source (or drain) contact makes electrical connection to a side of the source (or drain) region using a junction provided at a same level of the substrate as the source (or drain) and channel regions.
    Type: Grant
    Filed: June 10, 2016
    Date of Patent: October 17, 2017
    Assignees: International Business Machines Corporation, GLOBALFOUNDRIES INC., STMICROELECTRONICS, INC.
    Inventors: Qing Liu, Ruilong Xie, Chun-Chen Yeh, Xiuyu Cai, William J. Taylor
  • Patent number: 9780206
    Abstract: A power semiconductor device includes a silicon carbide substrate and at least a first layer or region formed above the substrate. The silicon carbide substrate has a pattern of pits formed thereon. The device further comprising an ohmic metal disposed at least in the pits to form low-resistance ohmic contacts.
    Type: Grant
    Filed: February 29, 2016
    Date of Patent: October 3, 2017
    Assignees: Purdue Research Foundation, Global Power Technologies Group, Inc.
    Inventor: James Albert Cooper, Jr.
  • Patent number: 9761528
    Abstract: An interconnection structure fabrication method is provided. The method includes providing a substrate; forming a conductive film with a first thickness and having a first lattice structure and a first grain size, wherein the first thickness is greater than the first grain size; and performing an annealing process to change the first lattice structure of the conductive film to a second lattice structure and to change the first grain size to a second grain size. The second grain size is greater than the first grain size, and the first thickness is greater than or equal to the second grain size. The method also includes etching portion of the conductive film to form at least one conductive layer; etching portion of the conductive layer to form at least one trench having a depth smaller than the first thickness in the conductive layer to form an electrical interconnection wire and conductive vias; and forming a dielectric layer covering the substrate, sidewalls of the conductive layer, and the trench.
    Type: Grant
    Filed: October 14, 2016
    Date of Patent: September 12, 2017
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventor: Zhongshan Hong
  • Patent number: 9754533
    Abstract: An organic light-emitting display apparatus is provided. The apparatus includes an organic light-emitting diode emitting visible light, a driving thin film transistor driving the organic light-emitting diode, and a compensation thin film transistor. The compensation thin film transistor includes a compensation gate electrode, a compensation semiconductor layer, a compensation source electrode, and a compensation drain electrode. The compensation gate electrode includes a first gate electrode, and a second gate electrode electrically connected to the first gate electrode. The compensation drain electrode is electrically connected to the driving gate electrode of the driving thin film transistor.
    Type: Grant
    Filed: March 31, 2015
    Date of Patent: September 5, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventors: Juwon Yoon, Iljeong Lee, Jiseon Lee, Choongyoul Im
  • Patent number: 9735006
    Abstract: A method of manufacturing a semiconductor device is disclosed. The method includes forming a thin film containing a predetermined element, boron, carbon, and nitrogen on a substrate by performing a cycle a predetermined number of times. The cycle includes forming a first layer containing boron and a halogen group by supplying a first precursor gas containing boron and the halogen group to the substrate; and forming a second layer containing the predetermined element, boron, carbon, and nitrogen by supplying a second precursor gas containing the predetermined element and an amino group to the substrate and modifying the first layer.
    Type: Grant
    Filed: July 6, 2015
    Date of Patent: August 15, 2017
    Assignee: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Atsushi Sano, Yoshiro Hirose
  • Patent number: 9728527
    Abstract: An apparatus relating generally to a substrate is disclosed. In such an apparatus, a first bond via array has first wires extending from a surface of the substrate. A second bond via array has second wires extending from the surface of the substrate. The first bond via array is disposed at least partially within the second bond via array. The first wires of the first bond via array are of a first height. The second wires of the second bond via array are of a second height greater than the first height for coupling of at least one die to the first bond via array at least partially disposed within the second bond via array.
    Type: Grant
    Filed: October 28, 2015
    Date of Patent: August 8, 2017
    Assignee: Invensas Corporation
    Inventors: Cyprian Emeka Uzoh, Rajesh Katkar
  • Patent number: 9728535
    Abstract: A method of manufacturing a semiconductor device includes forming a plurality of fins by forming a plurality of first device isolating trenches repeated at a first pitch in a substrate, forming a plurality of fin-type active areas protruding from a top surface of a first device isolating layer by forming the first device isolating layer in the plurality of first device isolating trenches, forming a plurality of second device isolating trenches at a pitch different from the first pitch by etching a portion of the substrate and the first device isolating layer, and forming a second device isolating layer in the plurality of second device isolating trenches, so as to form a plurality of fin-type active area groups separated from each other with the second device isolating layer therebetween.
    Type: Grant
    Filed: March 2, 2016
    Date of Patent: August 8, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-sang Youn, Myung-geun Song, Ji-hoon Cha, Jae-jik Baek, Bo-un Yoon, Jeong-nam Han
  • Patent number: 9722045
    Abstract: The disclosure relates to semiconductor structures and, more particularly, to one or more devices with an engineered layer for modulating voltage threshold (Vt) and methods of manufacture. The method includes finding correlation of thickness of a buffer layer to out-diffusion of dopant into extension regions during annealing of a doped layer formed on the buffer layer. The method further includes determining a predetermined thickness of the buffer layer to adjust device performance characteristics based on the correlation of thickness of the buffer layer to the out-diffusion. The method further includes forming the buffer layer adjacent to gate structures to the predetermined thickness.
    Type: Grant
    Filed: October 23, 2015
    Date of Patent: August 1, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Bhupesh Chandra, Viorel Ontalus, Timothy J. McArdle, Paul Chang, Claude Ortolland, Judson R. Holt
  • Patent number: 9722065
    Abstract: A semiconductor device includes a transistor, a semiconductor layer, an active region and a conductive layer. The active region is in the semiconductor layer. The conductive layer is configured to maintain a channel in the active region when the transistor is triggered to be conducted.
    Type: Grant
    Filed: February 3, 2016
    Date of Patent: August 1, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: Yu-Syuan Lin, Jiun-Lei Yu, Ming-Cheng Lin, Chun Lin Tsai
  • Patent number: 9711454
    Abstract: A semiconductor device includes a substrate, a dielectric structure, a barrier layer, a glue layer, a copper seed layer and a copper layer. The dielectric structure is disposed over the substrate. The dielectric structure has a through via hole passing through the dielectric structure, and a sidewall of the through via hole includes at least one indentation. The barrier layer conformally covers the sidewall and a bottom of the through via hole. The glue layer conformally covers the barrier layer. The copper seed layer conformally covers the glue layer. The copper layer covers the copper seed layer and fills the through via hole.
    Type: Grant
    Filed: August 29, 2015
    Date of Patent: July 18, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Li-Yen Fang, Jung-Chih Tsao, Yao-Hsiang Liang, Yu-Ku Lin
  • Patent number: 9704718
    Abstract: A method for manufacturing a silicon carbide device includes providing a silicon carbide wafer and manufacturing a mask layer on top of the silicon carbide wafer. Further, the method includes structuring the mask layer at an edge of a silicon carbide device to be manufactured, so that the mask layer includes a bevel at the edge of the silicon carbide device to be manufactured. Additionally, the method includes etching the mask layer and the silicon carbide wafer by a mutual etching process, so that the bevel of the mask layer is reproduced at the edge of the silicon carbide device.
    Type: Grant
    Filed: March 22, 2013
    Date of Patent: July 11, 2017
    Assignee: Infineon Technologies Austria AG
    Inventors: Anton Mauder, Ralf Otremba, Jens Konrath
  • Patent number: 9704909
    Abstract: An image sensor is provided. The sensor comprises a plurality of photoelectric conversion elements each including a charge accumulation region of a first conductivity type arranged in a semiconductor substrate and an element isolation region arranged between the charge accumulation regions adjacent to each other. The element isolation region includes an insulator isolation portion arranged on an inner side of a trench on a surface of the semiconductor substrate, and includes a semiconductor region of a second conductivity type opposite to the first conductivity type arranged along a side surface of the insulator isolation portion. A gettering region is arranged between the semiconductor region and the insulator isolation portion along at least a part of the side surface of the insulator isolation portion.
    Type: Grant
    Filed: March 17, 2016
    Date of Patent: July 11, 2017
    Assignee: Canon Kabushiki Kaisha
    Inventor: Tasuku Kaneda
  • Patent number: 9691654
    Abstract: Back end of line via formation for semiconductor devices and methods of fabricating the semiconductor devices. One method includes, for instance: obtaining a wafer with a substrate and at least one contact in the substrate; depositing at least one lithography stack over the substrate; performing lithography to pattern at least one via opening; depositing a block co-polymer coating over the wafer into the at least one via opening; performing an ashing to remove excess block co-polymer material and form block co-polymer caps; and performing a thermal bake to separate the block co-polymer caps into a first material and a second material. An intermediate semiconductor device is also disclosed.
    Type: Grant
    Filed: December 22, 2015
    Date of Patent: June 27, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Sunil Kumar Singh, Sohan Singh Mehta, Ravi Prakash Srivastava
  • Patent number: 9685510
    Abstract: A method includes providing a Si substrate having an overlying layer of Si1-xGex; growing, over the layer of Si1-xGex, a layer of Si in an NFET region and a second layer of Si1-xGex in a PFET region; partitioning the layer of Si1-xGex into a structure including a first Si1-xGex sub-layer disposed in the NFET region and a second Si1-xGex sub-layer disposed in the PFET region; annealing the structure to convert the first Si1-xGex sub-layer and the overlying Si layer into a tensily strained Si1-xGex intermixed layer and to convert the second Si1-xGex sub-layer and the overlying second layer of Si1-xGex into a compressively strained Si1-xGex intermixed layer, where a value of x in the tensily strained Si1-xGex intermixed layer is less than a value of x in the compressively strained Si1-xGex intermixed layer and forming a first transistor in the NFET region and a second transistor in the PFET region.
    Type: Grant
    Filed: September 10, 2015
    Date of Patent: June 20, 2017
    Assignee: International Business Machines Corporation
    Inventors: Karthik Balakrishnan, Kangguo Cheng, Pouya Hashemi, Alexander Reznicek
  • Patent number: 9679846
    Abstract: A semiconductor device has a semiconductor die with a plurality of bumps formed over a surface of the semiconductor die. A first conductive layer having first and second segments is formed over a surface of the substrate with a first vent separating an end of the first segment and the second segment and a second vent separating an end of the second segment and the first segment. A second conductive layer is formed over the surface of the substrate to electrically connect the first segment and second segment. The thickness of the second conductive layer can be less than a thickness of the first conductive layer to form the first vent and second vent. The semiconductor die is mounted to the substrate with the bumps aligned to the first segment and second segment. Bump material from reflow of the bumps is channeled into the first vent and second vent.
    Type: Grant
    Filed: December 16, 2014
    Date of Patent: June 13, 2017
    Assignee: STATS ChipPAC Pte. Ltd.
    Inventors: JaeHyun Lee, SunJae Kim, JoongGi Kim
  • Patent number: 9676616
    Abstract: In a semiconductor pressure sensor, a fixed electrode is formed as the same layer as a diffusion layer formed to extend from a surface of a semiconductor substrate to inside of the semiconductor substrate. A void is formed by removing a sacrifice film, which is a region constituted of the same film as a floating gate electrode. A movable electrode includes an anchor portion which supports the movable electrode via the void relative to the fixed electrode and in which the sacrifice film is at least partially opened. The anchor portion has a first anchor provided to divide the movable electrode into a plurality of movable electrode units when viewed in a plan view such that one pair of adjacent movable electrode units of the plurality of movable electrode units divided share the same first anchor.
    Type: Grant
    Filed: December 2, 2015
    Date of Patent: June 13, 2017
    Assignee: Mitsubishi Electric Corporation
    Inventor: Kimitoshi Sato
  • Patent number: 9673147
    Abstract: A method of manufacturing a semiconductor device includes forming an opening in a first substrate and filling the opening with a metal to form a first connection electrode. The first substrate is then polished by chemical mechanical polishing under conditions such that a polishing rate of the metal is less that of the region surrounding the metal. The chemical mechanical polishing thereby causes the first connection electrode to protrude from the surface of the first substrate. The first substrate is stacked with a second substrate having a second connection electrode. The first and second connection electrodes are bonded by applying pressure and heating to a temperature that is below the melting point of the metal of the first connection electrode.
    Type: Grant
    Filed: January 25, 2016
    Date of Patent: June 6, 2017
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kenro Nakamura, Hirokazu Ezawa
  • Patent number: 9673354
    Abstract: Disclosed is a light emitting device including a light emitting structure including a first conductive semiconductor layer, an active layer under the first conductive semiconductor layer, and a second conductive semiconductor layer under the active layer, a first electrode electrically connected with the first conductive semiconductor layer, a mirror layer under the light emitting structure, a window semiconductor layer between the mirror layer and the light emitting structure, a reflective layer under the mirror layer, a conductive contact layer between the reflective layer and the window semiconductor layer and in contact with the second conductive semiconductor layer, and a conductive support substrate under the reflective layer. The window semiconductor layer includes a C-doped P-based semiconductor doped with a higher dopant concentration. The conductive contact layer includes material different from that of the mirror layer with a thickness thinner than that of the window semiconductor layer.
    Type: Grant
    Filed: May 29, 2015
    Date of Patent: June 6, 2017
    Assignee: LG INNOTEK CO., LTD.
    Inventors: Ji Hyung Moon, Sang Youl Lee, Bum Doo Park, Chung Song Kim, Sang Rock Park, Byung Hak Jeong, Tae Yong Lee