Patents Examined by Leigh M. Garbowski
  • Patent number: 10467370
    Abstract: Disclosed are methods, systems, and articles of manufacture for implementing a schematic circuit design component as a transmission line model in a schematic driven extracted view for an electronic design. These techniques identify a schematic circuit component design form a schematic design of an electronic design and identify or determine layout device information of a layout circuit component design corresponding to the schematic circuit component design. An extracted view may be generated or identified for the electronic design at least by using a transmission line model based in part or in whole upon connectivity information or a hierarchical structure of the electronic design. The electronic design may then be modified or updated based in part or in whole upon results of performing one or more analyses on the extracted view with the transmission line model.
    Type: Grant
    Filed: September 30, 2017
    Date of Patent: November 5, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Taranjit Singh Kukal, Arnold Jean Marie Gustave Ginetti, Preeti Chauhan, Nikhil Gupta, Vikas Aggarwal, Vikrant Khanna
  • Patent number: 10446881
    Abstract: A power storage system or a power storage device that can restore reduced capacity is provided. The power storage device includes a first exterior body, a first electrode, a second electrode, a first electrolyte solution, and a carrier ion permeable film. The first electrode, the second electrode, and the first electrolyte solution are covered with the first exterior body. The first electrode and the second electrode are in contact with the first electrolyte solution. The first electrolyte solution includes carrier ions. A first opening is provided in the first exterior body. The carrier ion permeable film is provided to be in contact with the first electrolyte solution and so as to block the first opening without any space. The carrier ion permeable film is configured to be impermeable to water and air but permeable to the carrier ions.
    Type: Grant
    Filed: February 14, 2017
    Date of Patent: October 15, 2019
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Junpei Momo
  • Patent number: 10445452
    Abstract: Aspects of the disclosed technology relate to techniques for using hotspot simulation to make wafer rework decisions. Metrology data of photoresist patterns created based on a layout design for a circuit design by a photolithographic processing step are received during a lithographic process. Hotspots of interest are selected based on comparing the metrology data with simulated metrology data associated with hotspots. The simulated metrology data and information of the hotspots are generated by performing lithographic simulation on the layout design before the lithographic process and stored in a library of potential hotspots. Lithography simulation is performed on the selected hotspots of interest using process conditions of the photolithographic processing step to generate simulated hotspot data. The simulated hotspot data are analyzed to determine whether rework of the one or more wafers or a wafer lot to which the one or more wafers belong is needed.
    Type: Grant
    Filed: October 4, 2017
    Date of Patent: October 15, 2019
    Assignee: Mentor Graphics Corporation
    Inventors: John L. Sturtevant, Shumay Dou Shang, Konstantinos G. Adam
  • Patent number: 10445456
    Abstract: Routing a circuit design for implementation within an integrated circuit can include determining a set of candidate paths from available paths of the integrated circuit for connecting source-sink pairs of the circuit design, wherein the set of candidate paths is initially a subset of the available paths, and generating, using a processor, an expression having a plurality of variables expressed as a conjunction of routing constraints representing legal routes of the source-sink pairs using only the candidate paths. A routing result for the circuit design can be determined by initiating execution of a SAT solver on the expression using the processor.
    Type: Grant
    Filed: June 14, 2017
    Date of Patent: October 15, 2019
    Assignee: XILINX, INC.
    Inventor: Henri Fraisse
  • Patent number: 10429446
    Abstract: A battery monitoring system monitors a storage battery.
    Type: Grant
    Filed: July 14, 2017
    Date of Patent: October 1, 2019
    Assignee: DENSO CORPORATION
    Inventor: Takumi Shimizu
  • Patent number: 10417372
    Abstract: Systems and techniques for creating and displaying a circuit design view are described. A hardware description language (HDL) specification and a power intent specification of the circuit design can be analyzed to determine a correspondence between one or more signals in the HDL specification and one or more isolation cells in the power intent specification. The correspondence can be stored in a memory of a computer, and can be used for annotating a visual representation of a signal in a circuit design view based on a corresponding isolation cell. Next, the circuit design view with the annotated visual representation of the signal can be displayed.
    Type: Grant
    Filed: November 25, 2015
    Date of Patent: September 17, 2019
    Assignee: Synopsys, Inc.
    Inventors: Chih Neng Hsu, Yaping Chen
  • Patent number: 10402532
    Abstract: Various techniques implement an electronic design with electrical analyzes with compensation circuit components. A power pin of a power net may be identified in an electronic design. The electronic design may be reduced into a reduced electronic design at least by applying one or more circuit reduction techniques to at least a portion of the electronic design. At least one load device of a plurality of load devices in the reduced electronic design may be transformed into a transformed load device. One or more design closure tasks may be performed on the electronic design using at least the reduced electronic design and the transformed load device.
    Type: Grant
    Filed: April 7, 2016
    Date of Patent: September 3, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yujia Li, Xiaohai Wu, An-Chang Deng
  • Patent number: 10394988
    Abstract: A method for optimizing an implementation of a logic circuit, comprising steps of providing an interpretation of the logic circuit in terms of 3 Boolean variable majority operators M, with each of the majority operators being a function of a plurality of variables that returns a logic value assumed by more than half of the plurality of variables, and a single Boolean variable complementation operator ?. The method further comprises providing a commutativity, a majority (?.M), an associativity (?.A), a distributivity (?.D), an inverter propagation (?.I), a relevance (?.R), a complementary associativity (?.C), and a substitution (?.S) transformation; and combining the ?.M, ?.C, ?.A, ?.D, ?.I, ?.R, ?.C and ?.S transformations to reduce an area of the logic circuit via (i) a reshaping procedure consisting of the ?.A, ?.C, ?.D, ?.I, ?.R, ?.S and ?.
    Type: Grant
    Filed: February 20, 2014
    Date of Patent: August 27, 2019
    Assignee: ECOLE POLYTECHNIQUE FEDERALE DE LAUSANNE (EPFL)
    Inventors: Pierre-Emmanuel Julien Gaillardon, Luca Gaetano Amarù, Giovanni De Micheli
  • Patent number: 10394983
    Abstract: A computer-implemented method of promoting timing constraints in an electronic design automation process of a chip is provided. A method of promoting a lower level block's timing constraint to an upper level block by providing an option to preserve the timing intent of the lower level block at the same time, or to modify the timing constraint such that the block level timing is in context to the top level block timing is provided. The method implements automatic promotion of timing constraint in different modes as an integration mode; an isolation mode and combination thereof, wherein the integration mode is independent of SDCs; and the isolation mode is based on the input SDCs. A method of automatically promoting constant values that are defined through a set_case_analysis command in the SDC file is further provided.
    Type: Grant
    Filed: June 14, 2017
    Date of Patent: August 27, 2019
    Assignee: Excellicon Corporation
    Inventors: Himanshu Bhatnagar, Peter Petrov
  • Patent number: 10394999
    Abstract: A computer-implemented method includes identifying a noise cluster, representing the noise cluster according to a variational model, projecting the variational model onto one or more corners to yield a projected noise cluster, and determining a computed noise for the projected noise cluster. Optionally, the noise cluster includes one or more noise cluster elements, and each of the noise cluster elements are expressed as one or more circuit element terms, according to a canonical form. Optionally, at least one of the corners is a bounding corner. For the bounding corner, the projected noise cluster is generated by maximizing the circuit element terms for those noise cluster elements that tend to increase noise, and by minimizing the circuit element terms for those noise cluster elements that tend to decrease noise, whereby noise is maximized for the canonical form. A corresponding computer program product and computer system are also disclosed.
    Type: Grant
    Filed: November 18, 2015
    Date of Patent: August 27, 2019
    Assignee: International Business Machines Corporation
    Inventors: Steven J. Kurtz, Mark A. Lavin, Ronald D. Rose, Richard W. Taggart, Vladimir Zolotov
  • Patent number: 10387607
    Abstract: Techniques are disclosed to determine the temperature-dependent insertion loss and propagation delay of traces in a printed circuit board design. For example, an example method includes determining a first temperature at a first portion of a trace of a PCB design based on a thermal map of the PCB design. The method further includes determining a second temperature at a second portion of the trace based on the thermal map. The method further includes calculating a temperature-dependent property of the PCB at the first portion based on the first temperature. The method further includes calculating the temperature-dependent property of the PCB at the second portion based on the second temperature. The method further includes calculating at least one of a signal loss and propagation delay on the trace based on the temperature-dependent property of the PCB at the first portion and the second portion.
    Type: Grant
    Filed: August 15, 2016
    Date of Patent: August 20, 2019
    Assignee: Cisco Technology, Inc.
    Inventors: Soumya De, Yaochao Yang
  • Patent number: 10380297
    Abstract: Embodiments relate to designing of integrated circuits using generation and instantiation of circuit stencils. The circuit stencil represents an abstracted version of the circuit segment. The circuit stencils include collapsed versions of the connectivity information of components and nodes of the integrated circuit. The collapsed version of the connectivity information is generated by analyzing functionality of the circuit segment and removing or replacing at least one redundant component or node of the circuit segment without modifying the functionality. The circuit stencil is used for instantiating or referencing components into a second integrated circuit.
    Type: Grant
    Filed: November 10, 2017
    Date of Patent: August 13, 2019
    Assignee: Synopsys, Inc.
    Inventors: Friedrich Gunter Kurt Sendig, Donald John Oriordan, Jonathan Lee Sanders, Salem Lee Ganzhorn, Barry Andrew Giffel, Hsiang-Wen Jimmy Lin
  • Patent number: 10380303
    Abstract: Dynamic power-aware encoding method and apparatus is presented based on a various embodiments described herein. The experimental results confirmed that a desirable reduction in the toggling rate in the decompressed test stimulus is achievable by reasonable overhead (ATPG time, hardware overhead and pattern inflation) typically without degradation of a compression ratio. The performed experimental evaluation confirms that the described embodiments can support aggressive scan compression, efficient dynamic pattern compaction and a reduction of toggling rate in the decompressed test stimulus.
    Type: Grant
    Filed: November 30, 2015
    Date of Patent: August 13, 2019
    Assignee: Synopsys, Inc.
    Inventor: Emil Gizdarski
  • Patent number: 10380292
    Abstract: The present disclosure relates to a computer-implemented method for use in an electronic design. Embodiments may include receiving, using at least one processor, an electronic design and linking a printed circuit board (PCB) block to a physical layout associated with the electronic design. Embodiments may further include receiving, at a layout environment, at least one simulation parameter and performing, using a finite difference time domain (“FDTD”) simulator, a time-domain simulation, based upon, at least in part, the at least one simulation parameter.
    Type: Grant
    Filed: April 8, 2016
    Date of Patent: August 13, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Kenneth Robert Willis, Jing Wang, Hui Qi, Xuegang Zeng, Zhen Mu
  • Patent number: 10372855
    Abstract: Various aspects of the disclosed technology relate to techniques of selecting scan cells from state elements for partial scan designs. Signal probability values for logic gates in a circuit design are first determined. Based on the signal probability values, next-state capture probability values for state elements in the circuit design are computed. Based on the next-state capture probability values, scan cells are selected from the state elements. Scan cells may be further selected based on continuously-updated control weight values and observation weight values associated with the state elements.
    Type: Grant
    Filed: February 27, 2015
    Date of Patent: August 6, 2019
    Assignee: Mentor Graphics Corporation
    Inventors: Xijiang Lin, Ting-Pu Tai, Wu-Tung Cheng, Takeo Kobayashi
  • Patent number: 10366189
    Abstract: A method of preparing a programmable integrated circuit device for configuration using a high-level language includes compiling a plurality of virtual programmable devices from descriptions in said high-level language. That compiling includes compiling configurations of configurable routing resources from programmable resources of said programmable integrated circuit device, and compiling configurations of a plurality of complex function blocks from programmable resources of said programmable integrated circuit device. A machine-readable data storage medium may be encoded with a library of such compiled configurations. A virtual programmable device may include a stall signal network and routing switches of the virtual programmable device may include stall signal inputs and outputs.
    Type: Grant
    Filed: August 15, 2016
    Date of Patent: July 30, 2019
    Assignee: Altera Corporation
    Inventors: Doris Tzu-Lang Chen, Deshanand Singh
  • Patent number: 10366196
    Abstract: Disclosed systems and methods pertain to finfet based integrated circuits designed with logic cell architectures which support multiple diffusion regions for n-type and p-type diffusions. Different diffusion regions of each logic cell can have different widths or fin counts. Abutting two logic cells is enabled based on like fin counts for corresponding p-diffusion regions and n-diffusion regions of the two logic cells. Diffusion fills are used at common edges between the two logic cells for extending lengths of diffusion, based on the like fin counts. The logic cell architectures support via redundancy and the ability to selectively control threshold voltages of different logic cells with implant tailoring. Half-row height cells can be interleaved with standard full-row height cells.
    Type: Grant
    Filed: June 21, 2017
    Date of Patent: July 30, 2019
    Assignee: QUALCOMM Incorporated
    Inventors: Anthony Correale, Jr., Benjamin Bowers, Tracey Della Rova, William Goodall, III
  • Patent number: 10360338
    Abstract: A computer-implemented method for extracting a capacitance for a target wire of an integrated circuit includes receiving a design of the integrated circuit having a plurality of wiring layers and selecting a target wire to perform the capacitance extraction. The method further includes determining a first adjacent wiring layer and a second adjacent wiring layer and removing a first subset and a second subset of a plurality of non-adjacent wiring layers from the plurality of wiring layers. The method includes approximating a first plate to be used in the extraction based on the first subset of the plurality of non-adjacent wiring layers and approximating a second plate to be used in the extraction based on the second subset of the plurality of non-adjacent wiring layers and performing the extraction of the target wire based on the first and second adjacent wiring layers and the first and second plates.
    Type: Grant
    Filed: January 15, 2016
    Date of Patent: July 23, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Susan E. Cellier, Lewis W. Dewey, III, Anthony D. Hagin, Adam P. Matheny, Ron D. Rose, David J. Widiger, Patrick M. Williams
  • Patent number: 10360339
    Abstract: Provided is an integrated circuit (IC) manufacturing method. The method includes receiving an IC design layout, wherein the IC design layout includes multiple IC regions and each of the IC regions includes an initial IC pattern. The method further includes performing a correction process to a first IC region, thereby modifying the initial IC pattern in the first IC region to result in a first corrected IC pattern in the first IC region, wherein the correction process includes location effect correction. The method further includes replacing the initial IC pattern in a second IC region with the first corrected IC pattern.
    Type: Grant
    Filed: February 15, 2016
    Date of Patent: July 23, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hung-Chun Wang, Ching-Hsu Chang, Chun-Hung Wu, Cheng Kun Tsai, Feng-Ju Chang, Feng-Lung Lin, Ming-Hsuan Wu, Ping-Chieh Wu, Ru-Gun Liu, Wen-Chun Huang, Wen-Hao Liu
  • Patent number: 10354045
    Abstract: An integrated circuit (IC) design is received. The IC design has devices on different layers electrically connected to each other by conductive vias extending between the different layers. Relative locations of the vias, and of conductive components of the devices within adjacent layers of the different layers, are identified. The conductive components that overlap redundant vias are also identified. This allows 2D via checker data, that is a combination of the 3D adjacent layers, to be generated. The 2D via checker data includes rectangular geometric shapes that represent each instance of the conductive components overlapping redundant vias. Thus, the 2D via checker data is output, and lack of rectangular geometric shapes in the 2D via checker data provides data of locations in the IC design that fail to have redundant vias.
    Type: Grant
    Filed: June 16, 2017
    Date of Patent: July 16, 2019
    Assignee: GLOBALFOUNDRIES INC.
    Inventor: Ahmed Abdelghany Alsayed Omara