Patents Examined by Leigh M. Garbowski
  • Patent number: 10209628
    Abstract: A method for automatically classifying one or more defects based on electrical design properties includes receiving one or more images of a selected region of a sample, receiving one or more sets of design data associated with the selected region of the sample, locating one or more defects in the one or more images of the selected region of the sample by comparing the one or more images of the selected region of the sample to the one or more sets of design data, retrieving one or more patterns of interest from the one or more sets of design data corresponding to the one or more defects, and classifying the one or more defects in the one or more images of the selected region of the sample based on one or more annotated electrical design properties included in the one or more patterns of interest.
    Type: Grant
    Filed: October 4, 2016
    Date of Patent: February 19, 2019
    Assignee: KLA-Tencor Corporation
    Inventors: Prasanti Uppaluri, Thirupurasundari Jayaraman, Ardis Liang, Srikanth Kandukuri, Sagar Kekare
  • Patent number: 10198549
    Abstract: A three-dimensional mask model that provides a more realistic approximation of the three-dimensional effects of a photolithography mask with sub-wavelength features than a thin-mask model. In one embodiment, the three-dimensional mask model includes a set of filtering kernels in the spatial domain that are configured to be convolved with thin-mask transmission functions to produce a near-field image. In another embodiment, the three-dimensional mask model includes a set of correction factors in the frequency domain that are configured to be multiplied by the Fourier transform of thin-mask transmission functions to produce a near-field image.
    Type: Grant
    Filed: June 6, 2016
    Date of Patent: February 5, 2019
    Assignee: ASML Netherlands B.V.
    Inventors: Peng Liu, Yu Cao, Luoqi Chen, Jun Ye
  • Patent number: 10192860
    Abstract: An engineering change order (ECO) base cell and an integrated circuit (IC) including the ECO base cell are provided. The IC includes a plurality of standard cells and at least one engineering change order (ECO) base cell. The ECO base cell has a layout that is generated based on a layout of a functional cell corresponding to a first circuit including a plurality of logic gates.
    Type: Grant
    Filed: August 15, 2016
    Date of Patent: January 29, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-woo Seo, Dal-hee Lee
  • Patent number: 10192020
    Abstract: Disclosed are methods, systems, and articles of manufacture for implementing dynamic maneuvers within virtual hierarchies of an electronic design. These techniques identify or generate a plurality of figure groups at one or more virtual hierarchies in a layout portion and receive a request to descend into or ascend from a figure group at a virtual hierarchy of the one or more virtual hierarchies. In response to the received request, these techniques update a layout view into an updated layout view at least by exposing layout design details in the figure group for native editing according to the request to descend into or ascend from the figure group and optionally synchronize a corresponding schematic design view according to the updated layout view.
    Type: Grant
    Filed: September 30, 2016
    Date of Patent: January 29, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventor: Arnold Ginetti
  • Patent number: 10169502
    Abstract: In an approach for addressing process and voltage points across voltage and process space, a computer identifies an integrated circuit design. The computer identifies a timing model associated with the identified integrated circuit design. The computer identifies a minimum set of voltage/process pairs associated with the integrated circuit design. The computer identifies a number n that defines the number of finite differencing operations to be performed for the identified minimum set of voltage/process pairs. The computer performs a single statistical static timing analysis with multi-corner projection for the identified integrated circuit based on the received number n that provides a finite difference for each number of finite differencing operations to be performed based on n for the identified minimum set of voltage/process pairs. The computer performs addressing based on the performed statistical static timing analysis. The computer provides a report.
    Type: Grant
    Filed: August 23, 2016
    Date of Patent: January 1, 2019
    Assignee: International Business Machines Corporation
    Inventors: Eric A. Foreman, Jeffrey G. Hemmett, Stephen G. Shuma
  • Patent number: 10162928
    Abstract: A method for designing a semiconductor device includes establishing boundary conditions for a layout of each cell of a plurality of cells, wherein each cell has a plurality of features, and boundary conditions are established based on a proximity of each feature to a cell boundary of a corresponding cell. The method includes determining whether the layout of each cell is colorable based on a number of masks used to manufacture a layer of the semiconductor device, a minimum spacing requirement for the plurality of features, and the established boundary conditions. The method includes forming a layout of the layer of the semiconductor device by abutting a first cell of the plurality of cells with a second cell of the plurality of cells. The method includes reporting the layout of the layer of the semiconductor device as colorable without analyzing the layout of the layer of the semiconductor device.
    Type: Grant
    Filed: December 2, 2015
    Date of Patent: December 25, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Nien-Yu Tsai, Chin-Chang Hsu, Hsien-Hsin Sean Lee, Wen-Ju Yang
  • Patent number: 10161999
    Abstract: Approaches for capturing states of signals of a circuit-under-test are disclosed. A logic analyzer circuit is coupled to the circuit-under-test and is configured to receive a plurality of probe signals and a plurality of trigger signals from the circuit-under-test. The logic analyzer circuit inputs data identifying a subset of the probe signals and a subset of the trigger signals. The logic analyzer circuit selects the subset of trigger signals for input to trigger logic and selects the subset of probe signals in the logic analyzer circuit after the logic analyzer circuit and the circuit-under-test are active. The logic analyzer circuit samples states of the subset of probe signals in response to the trigger logic and stores the sampled states of the subset of probe signals in a memory.
    Type: Grant
    Filed: April 5, 2016
    Date of Patent: December 25, 2018
    Assignee: XILINX, INC.
    Inventors: Heera Nand, Niloy Roy, Mahesh Sankroj, Siddharth Rele, Riyas Noorudeen Remla, Rajesh Bansal, Bradley K. Fross
  • Patent number: 10157254
    Abstract: In some embodiments, the present disclosure relates to a clock tree structure disposed on a semiconductor substrate. The clock tree structure includes a first clock line having a first line width and being arranged at a first height as measured from an upper surface of the semiconductor substrate. The clock tree structure also includes a second clock line having a second line width, which differs from the first line width. The second clock line is arranged at a second height as measured from the upper surface of the semiconductor substrate and the second height is equal to the first height. The first line width can be directly proportional to a first current level for the first clock line and the second line width can be directly proportional to a second current level for the second clock line.
    Type: Grant
    Filed: November 28, 2016
    Date of Patent: December 18, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuo-Nan Yang, Chung-Hsing Wang, Yi-Kan Cheng, Kumar Lalgudi
  • Patent number: 10157251
    Abstract: A method includes following operations. First circuit cells are partitioned into a first frame of a first tier and the first frame of a second tier. The first frame is divided into second frames according to a step size. The first circuit cells between the second frames of the first tier and the second tier are adjusted. The first tier and the second tier, to which the adjusted first circuit cells are assigned, are merged to generate data indicating a layout design, for fabrication of the circuit cells.
    Type: Grant
    Filed: November 17, 2016
    Date of Patent: December 18, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hung-Chih Ou, Chun-Chen Chen, Sheng-Hsiung Chen
  • Patent number: 10141750
    Abstract: A battery apparatus with voltage-balancing control and a method controlling the same employ a voltage-balancing module, which balances voltage values of multiple series-connected batteries of the battery apparatus, and accumulates voltage difference values of each battery relative to reference voltage values to adjust an allowable discharge control parameter Duty for each battery. By virtue of a cycle for updating the Duty, voltage differences of the multiple series-connected batteries can be lowered below a preset value.
    Type: Grant
    Filed: May 24, 2017
    Date of Patent: November 27, 2018
    Assignee: Cyber Power Systems Inc.
    Inventors: Hung-Ming Hsieh, Yung-Hao Peng, Shih-Chien Tang
  • Patent number: 7392493
    Abstract: A method of determining buffer insertion locations in an integrated circuit design establishes candidate locations for inserting buffers into a net, and selects buffer insertion locations from among the candidates based on slew constraints. The selection of buffer insertion locations preferably optimizes slack and buffer cost while keeping slew from any buffered node to any sink less than a required slew rate. The slew analysis computes an output slew SL(v) of a given buffer b inserted at a node v as SL(v)=RS(b)·C(v)+KS(b), where C(v) is the downstream capacitance at v, RS(b) is the slew resistance of buffer b, and KS(b) is the intrinsic slew of buffer b. The delay through a given buffer may also be computed based on signal polarity. However, the invention still preferably uses worst-case slew resistance and intrinsic slew in considering the slew constraints.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: June 24, 2008
    Assignee: International Business Machines Corporation
    Inventors: Charles Jay Alpert, Zhuo Li, Stephen Thomas Quay
  • Patent number: 7373625
    Abstract: A system and method for predicting yield of integrated circuits includes at least one type of characterization vehicle which incorporates at least one feature which is representative of at least one type of feature to be incorporated in the final integrated circuit product. The characterization vehicle is subjected to at least one of the process operations making up the fabrication cycle to be used in fabricating the integrated circuit product in order to produce a yield model. The yield model embodies a layout as defined by the characterization vehicle and preferably includes features which facilitate the gathering of electrical test data and testing of prototype sections at operating speeds. An extraction engine extracts predetermined layout attributes from a proposed product layout. Operating on the yield model, the extraction engine produces yield predictions as a function of layout attributes and broken down by layers or steps in the fabrication process.
    Type: Grant
    Filed: August 10, 2006
    Date of Patent: May 13, 2008
    Assignee: PDF Solutions, Inc.
    Inventors: Brian E. Stine, Christopher Hess, John Kibarian, Kimon Michaels, Joseph C. Davis, Purnendu K. Mozumder, Sherry F. Lee, Larg H. Weiland, Dennis J. Ciplickas, David M. Stashower
  • Patent number: 7370299
    Abstract: A method for register transfer level power estimation in chip design includes the steps of: (A) parsing all possible condition branches of conditional statements in a register transfer level code, and establishing power modes inducible by each of the possible condition branches; (B) selecting a plurality of representative input vector sets from input vector sets recorded in the chip specification, and constructing linear characterization formulas corresponding to the power modes based on the selected input vector sets; and (C) calculating power values from the linear characterization formulas that correspond to the power modes, and obtaining an average power consumed by the chip from the calculated power values.
    Type: Grant
    Filed: July 12, 2005
    Date of Patent: May 6, 2008
    Assignee: National Tsing Hua University
    Inventors: Shi-Yu Huang, Kai-Shung Chang, Chia-Chien Weng, Ming-Yi Sum
  • Patent number: 7370294
    Abstract: A low-leakage circuit design method involves determining a capacity of a power gating transistor using delay statistics, wherein the resulting power gating transistor has sufficient capacity to supply all of the current necessary to meet the demands of the powered design elements while minimizing an amount of chip space required to implement the power gating transistor. The capacity of the power gating transistor is determined by first estimating a capacity necessary to meet the demands of all design elements connected to the transistor. The design elements are then grouped according to input signal arrival time to determine an amount by which the estimated capacity of the gating transistor may be reduced without affecting operation of the design elements. Various grouping schemes are evaluated to determine an optimal grouping. The estimated transistor capacity is reduced according to the optimal grouping, and the power gating transistor is implemented accordingly.
    Type: Grant
    Filed: April 21, 2005
    Date of Patent: May 6, 2008
    Assignee: Xilinx, Inc.
    Inventor: Arifur Rahman
  • Patent number: 7367005
    Abstract: An arranging unit arranges a cell obtained from a net list input by an input unit on a large scale integration chip. A net extracting unit extracts an arbitrary net to be tested from a set of the cells arranged. An information extracting unit extracts, based on correlation information between information on a driving capacity of each of cells included in a circuit created based on a delay time caused by a crosstalk occurred as a result of a circuit simulation for a predetermined circuit model and information on a length of a wiring that connects the cells, wire-length information that has a correlation with information on the driving capacity of the cell in the net. An inserting unit inserts, based on the wire-length information, a delay-time suppressing cell to suppress a delay time in the net.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: April 29, 2008
    Assignee: Fujitsu Limited
    Inventors: Kazuyuki Kosugi, Ikuko Murakawa
  • Patent number: 7363599
    Abstract: A first hierarchical identifier is efficiently matched with a particular hierarchical identifier from a set of second hierarchical identifiers of a design in a high level modeling system. The matching tolerates name changes and additional design details in the hierarchical identifiers. A first sub-identifier at each level of the first hierarchical identifier is pattern matched with each second sub-identifier at a corresponding level of at least one second hierarchical identifier in a respective first subset of the second hierarchical identifiers. The pattern matching may include determining an edit distance between the first and second sub-identifiers. For each of the levels, a respective second subset of the respective first subset is determined in response to the pattern matching. The particular hierarchical identifier is selected from an intersection of all of the second subsets. The particular hierarchical identifier of the design is displayed on a user interface of the high-level modeling system.
    Type: Grant
    Filed: October 4, 2005
    Date of Patent: April 22, 2008
    Assignee: Xilinx, Inc.
    Inventor: Alexander R. Vogenthaler
  • Patent number: 7360188
    Abstract: A method and apparatus are provided for implementing characteristic impedance discontinuity reduction in customized high-speed flexible circuit applications. A curved artwork region is selected and selected cells are scanned. An area on opposite sides of a signal wire within each cell is determined. The identified areas are compared using a user defined delta value. If the compared areas differ greater than the user defined delta value, then a coordinate change is computed for moving the signal wire to reduce characteristic impedance discontinuity.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: April 15, 2008
    Assignee: International Business Machines Corporation
    Inventor: Matthew S. Doyle
  • Patent number: 7356788
    Abstract: A method and apparatus for performing an operation on hierarchically described integrated circuit layouts such that the original hierarchy of the layout is maintained is provided. The method comprises providing a hierarchically described layout as a first input and providing a particular set of operating criteria corresponding to the operation to be performed as a second input. The mask operation, which may include operations such as OPC and logical operations such as NOT and OR, is then performed on the layout in accordance with the particular set of operating criteria. A first program data comprising hierarchically configured correction data corresponding to the hierarchically described layout is then generated in response to the layout operation such that if the first program data were applied to the flattened layout an output comprising data representative of the result of performing the operation on the layout would be generated.
    Type: Grant
    Filed: June 18, 2002
    Date of Patent: April 8, 2008
    Assignee: Synopsys, Inc.
    Inventors: Fang-Cheng Chang, Yao-Ting Wang, Yagyensh C. Pati
  • Patent number: 7356800
    Abstract: A system and method for predicting yield of integrated circuits includes at least one type of characterization vehicle which incorporates at least one feature which is representative of at least one type of feature to be incorporated in the final integrated circuit product. The characterization vehicle is subjected to at least one of the process operations making up the fabrication cycle to be used in fabricating the integrated circuit product in order to produce a yield model. The yield model embodies a layout as defined by the characterization vehicle and preferably includes features which facilitate the gathering of electrical test data and testing of prototype sections at operating speeds. An extraction engine extracts predetermined layout attributes from a proposed product layout. Operating on the yield model, the extraction engine produces yield predictions as a function of layout attributes and broken down by layers or steps in the fabrication process.
    Type: Grant
    Filed: August 10, 2006
    Date of Patent: April 8, 2008
    Assignee: PDF Solutions, Inc.
    Inventors: Brian E. Stine, Christopher Hess, John Kibarian, Kimon Michaels, Joseph C. Davis, Purnendu K. Mozumder, Sherry F. Lee, Larg H. Weiland, Dennis J. Ciplickas, David M. Stashower
  • Patent number: 7356786
    Abstract: Techniques and systems for analysis, diagnosis and debugging fabricated hardware designs at a Hardware Description Language (HDL) level are described. Although the hardware designs (which were designed in HDL) have been fabricated in integrated circuit products with limited input/output pins, the techniques and systems enable the hardware designs within the integrated circuit products to be comprehensively analyzed, diagnosed, and debugged at the HDL level at speed. The ability to debug hardware designs at the HDL level facilitates correction or adjustment of the HDL description of the hardware designs.
    Type: Grant
    Filed: August 9, 2004
    Date of Patent: April 8, 2008
    Assignee: Synplicity, Inc.
    Inventors: Nils Endric Schubert, John Mark Beardslee, Gernot Heinrich Koch, Olaf Poeppe