Patents Examined by Leigh M. Garbowski
  • Patent number: 7346870
    Abstract: A method for verifying trace widths of a printed circuit board (PCB) layout includes the steps of: loading a PCB layout document from a database; defining a verifying area for a PCB layout specified in the PCB layout document; receiving preset design rules; creating a data structure, and loading information on traces in the verifying area into the data structure; selecting an unverified trace from the data structure; selecting an unverified segment from the selected trace; verifying the selected segment by comparing a width of the selected segment with the rules, and determining whether the selected segment satisfies the rules according to the comparison result; and annotating design rule check (DRC) information if the segment does not satisfy the rules. Other segments of the selected trace and other traces are verified by repeating appropriate of the above-described steps. A related system for implementing the method is also disclosed.
    Type: Grant
    Filed: December 29, 2004
    Date of Patent: March 18, 2008
    Assignees: Hong Fu Jin Precision Industry (Shenzhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventor: Xiao-Yu Du
  • Patent number: 7346875
    Abstract: An electrical wiring structure and method of designing thereof. The method identifies at least one wire pair having a first wire and a second wire. The second wire is already tri-stated or can be tri-stated. The wire pair may have a same-direction switching probability per clock cycle that is no less than a predetermined or user-selected minimum same-direction switching probability. Alternatively, the wire pair may have an opposite-direction switching probability per clock cycle that is no less than a predetermined or user-selected minimum opposite-direction switching probability. The first wire and the second wire satisfy at least one mathematical relationship involving: a spacing between the first wire and the second wire; and a common run length of the first wire and the second wire.
    Type: Grant
    Filed: July 7, 2005
    Date of Patent: March 18, 2008
    Assignee: International Business Machines Corporation
    Inventors: John M. Cohn, Alvar A. Dean, Amir H. Farrahi, David J. Hathaway, Thomas M. Lepsic, Jagannathan Narasimhan, Scott A. Tetreault, Sebastian T. Ventrone
  • Patent number: 7346879
    Abstract: The present invention provides a method and apparatus for managing a large number of associated interconnects within an integrated circuit involving a modular approach to the macro cell layout. In particular, internal signal paths are created within each macro cell that permit connections to other macros by abutting these macros adjacent to one another. Moreover, these internal signal paths permit efficient distribution of a common source signal to each of such connected macros. The layout of the internal macro cell signal paths of the present invention also permits each of these macros to be reflected about its Y-axis, thereby increasing its versatility in being utilized in various circuit designs.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: March 18, 2008
    Assignee: Agere Systems Inc.
    Inventors: Jung Cho, Robert M. Kylor, Vladimir Sindalovsky, Lane A. Smith
  • Patent number: 7343577
    Abstract: A method for optimizing area array device pin utilization and reducing the number of layers on a multilayered PCB comprising: preparing a package of BGA pin-out maps which anticipate the effect of existing fixed pins and derives the resulting optimum pin location assignment. Each pin-out map includes an indication of the best routing for circuits from a given component to be mounted to a PCB. Applying the package of pin-out maps during an area array pin assignment phase, thereby making an area array package capable of supporting the optimum routing configuration proposed by the pin-out maps. Applying the package of pin-out maps during a PCB design phase so that the optimum circuit routing to each pin is achieved, thereby completing the strategy layed out by the proposed pin-out maps, resulting in a lower number of PCB layers.
    Type: Grant
    Filed: September 13, 2005
    Date of Patent: March 11, 2008
    Assignee: Alcatel
    Inventor: Paul James Brown
  • Patent number: 7343582
    Abstract: A method, program product and system is disclosed for performing optical proximity correction (OPC) wherein mask shapes are fragmented based on the effective image processing influence of neighboring shapes on the shape to be fragmented. Neighboring shapes are smoothed prior to determining their influence on the fragmentation of the shape of interest, where the amount of smoothing of a neighboring shape increases as the influence of the neighboring shape on the image process of the shape of interest decreases. A preferred embodiment includes the use of multiple regions of interactions (ROIs) around the shape of interest, and assigning a smoothing parameter to a given ROI that increases as the influence of shapes in that ROI decreases with respect to the shape to be fragmented. The invention provides for accurate OPC that is also efficient.
    Type: Grant
    Filed: May 26, 2005
    Date of Patent: March 11, 2008
    Assignee: International Business Machines Corporation
    Inventors: Maharaj Mukherjee, Scott M. Mansfield, Alan E. Rosenbluth, Kafai Lai
  • Patent number: 7340711
    Abstract: Some embodiments of the invention provide a method for defining routes in a design layout. The method defines at least one particular wiring layer that has at least two regions with different local preferred wiring directions. The method then uses the differing local preferred wiring directions to define a detailed route on the wiring layer. In some embodiments, the method defines a first route that traverse first and second regions between two layers by using a first via that has a first pad in the second region. The method also defines a second route that traverses the second region and a third region in the two layers by using a second via that has a second pad in the second region, where the first and second pads have different shapes.
    Type: Grant
    Filed: December 6, 2004
    Date of Patent: March 4, 2008
    Assignee: Cadence Design Systems, Inc.
    Inventors: Asmus Hetzel, Etienne Jacques, Deepak Cherukuri
  • Patent number: 7337416
    Abstract: A technique to verify, evaluate, and estimate the performance of an integrated circuit is embodied in a computer software program that is executable by a computer system. When estimating performance, the invention partitions an integrated circuit into strongly coupled components. The technique accurately estimates of the performance (e.g., transient delays) of an integrated circuit, and has fast execution times. The technique is applicable to small circuits having relatively few transistors, and especially well suited for integrated circuits having millions of transistors and components. The technique handles the effects of deep-submicron integrated circuit technology.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: February 26, 2008
    Assignee: Magma Design Automation, Inc.
    Inventors: Arvind Srinivasan, Haroon Chaudhri
  • Patent number: 7328415
    Abstract: An integrated circuit may be divided into blocks and analyzed using a modeling algorithm which facilitates the concurrent analysis of a plurality of blocks forming an integrated circuit. In some cases, an electrical connectivity description of a block may be utilized to create static-timing representations that contain the logic that communicates with the boundary of a block. Once the models for the blocks forming an integrated circuit are generated, static-timing analysis may take place concurrently with all the relevant, identified paths.
    Type: Grant
    Filed: February 20, 2001
    Date of Patent: February 5, 2008
    Assignee: Intel Corporation
    Inventors: Silvio E. Bou-Ghazale, Cuong M. Le, Michael S. Jones, Timothy J. Fisher
  • Patent number: 7325207
    Abstract: The method and apparatus for analysis of integrated circuits using static timing analysis. For a circuit being analyzed, the value of the state net for the case of an undriven sensitization is resolved to a Hi/Lo logic on the output net and the sensitization is added to the appropriate pull-up/pull-down function on the output net. Furthermore, in the sensitization generation, the “present” state logic function at the output net is determined by the “previous” state variable of the sequential state net and the “present” state variables of the rest of the inputs to the sequential circuit. The “next” state logic function at the output net is determined by the “present” state variable of the sequential state net and the “next” state variables of the rest of the inputs to the sequential circuit. This variable is resolved as a function of “previous” state net variable and “present” state input net variables.
    Type: Grant
    Filed: December 9, 2004
    Date of Patent: January 29, 2008
    Assignee: Sun Microsystems, Inc.
    Inventors: Bhaskar Subramanian, Manish Singh
  • Patent number: 7325221
    Abstract: A core block with a highly configurable interface such that the interface of the core can be optimally configured for the system the core is integrated into. In one embodiment the method consists of defining a configurable interface with different configuration options, capturing the specific core configuration through manual entry or through the use of a Graphical User Interface, and providing for software that combines the source description of the core with the configuration data to generate the core with an optimally configured logic and circuit interface.
    Type: Grant
    Filed: August 8, 2000
    Date of Patent: January 29, 2008
    Assignee: Sonics, Incorporated
    Inventors: Drew Eric Wingard, Michael J. Meyer, Geert P. Rosseel, Lisa Robinson, Jay Tomlinson
  • Patent number: 7325222
    Abstract: A method for verifying reticle enhancement technique latent image sensitivity to mask manufacturing errors. The method includes the steps of revising a polygon based on mask CD distributions to provide a virtual mask, imaging the virtual mask to obtain response function statistical parameters, and comparing the statistical parameters to process tolerance requirements. Preferably, the method includes the steps of simulating an aerial and/or latent image of the virtual mask, calculating response functions based on the mask image simulation, collecting measurements and calculating statistical parameters based on the response functions, and comparing the statistical parameters with design rule requirements (i.e., for DI yield percentage for required mask manufacturing specification). The virtual mask is obtained by using mask CD distribution to induce statistical variations to layouts which have passed through the conventional OPC procedure.
    Type: Grant
    Filed: March 12, 2004
    Date of Patent: January 29, 2008
    Assignee: LSI Logic Corporation
    Inventors: Nadya G. Strelkova, Ebo H. Croffie, John V. Jensen
  • Patent number: 7318208
    Abstract: The method of this invention determines the timing of an integrated circuit design. At each node, the method determines if the timing of signal propagation at that node is critical. If this timing is critical, method calculates the capacitance at said current node using a highly accurate but computationally intensive model. If this timing is not critical, the method uses a less accurate but less computationally intensive model. The method calculates a signal delay for each node from the drive strength, calculated capacitance and fan-out. This signal delay is compared to a design goal. This method achieves a better trade-off between timing determination run-time and accuracy. Timing criticality can be determined from one or more of conductor length/area, fan-out, logic depth and timing slack.
    Type: Grant
    Filed: October 17, 2005
    Date of Patent: January 8, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Usha Narasimha, Anthony M. Hill, Nagaraj Narasimh Savithri
  • Patent number: 7318210
    Abstract: A method for designing a system on a target device utilizing field programmable gate arrays (FPGAs) includes placing new logic elements (LEs) at preferred locations on a layout of an existing system. Illegalities in placement of the new LEs are resolved.
    Type: Grant
    Filed: August 16, 2006
    Date of Patent: January 8, 2008
    Assignee: Altera Corporation
    Inventors: Deshanand P. Singh, Stephen D. Brown
  • Patent number: 7318213
    Abstract: A behavioral synthesis apparatus includes a control data flow graph generator that generates a CDFG specifying an execution order of calculations written in a behavior description including an external loop processing that includes internal loops processing which does not expand the internal loops processing, a scheduling module carries out scheduling of calculations, and an assigning module divides first pipeline processing for implementing the external loop processing and second pipeline processing for implementing the internal loops processing into stages, and assigns pipeline registers to the external loop processing and the internal loops processing.
    Type: Grant
    Filed: September 21, 2005
    Date of Patent: January 8, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Hiroaki Nishi
  • Patent number: 7313775
    Abstract: An integrated circuit layout is provided, which includes a base platform for an integrated circuit, a processor hardmac and a support memory. The base platform includes a memory matrix having leaf cells arranged in rows and columns. Each column of leaf cells has interface pins that are routed to a common matrix edge and have a common pin order along the matrix edge. The processor hardmac is placed along the memory matrix and has a hardmac edge adjacent the memory matrix edge and a plurality of interface pins for interfacing with corresponding interface pins of the memory matrix. The interface pins of the processor hardmac have the same pin order along the hardmac edge as the interface pins along the matrix edge. The support memory for the processor hardmac is mapped to a portion of the memory matrix along the hardmac edge.
    Type: Grant
    Filed: April 6, 2005
    Date of Patent: December 25, 2007
    Assignee: LSI Corporation
    Inventors: Michael J. Casey, Danny C. Vogel, Thomas W. McKernan
  • Patent number: 7313781
    Abstract: An image data correction method includes preparing correction data for correcting a distortion of an image obtained by an image acquiring section, acquiring outline data of a desired pattern obtained by the image acquiring section, and correcting the outline data of the desired pattern using the correction data.
    Type: Grant
    Filed: May 27, 2005
    Date of Patent: December 25, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Mitsuyo Asano, Masamitsu Itoh, Eiji Yamanaka, Shinji Yamaguchi
  • Patent number: 7310785
    Abstract: A design technique is disclosed that allows video processing hardware designers to effectively employ the requirements of a video processing standard (e.g., H.264 specification or other such standard) during the hardware architecture design phase of the design process. The technique eliminates or otherwise reduces costly multiple passes through the resource intensive implementation and verification portions of the design process, and allows designers to make changes to the hardware architecture design, thereby ensuring verification at the implementation phase.
    Type: Grant
    Filed: April 13, 2005
    Date of Patent: December 18, 2007
    Assignee: Micronas USA, Inc.
    Inventors: Li Sha, Weimin Zeng
  • Patent number: 7310790
    Abstract: Processes for formal verification of circuits and other finite-state systems are disclosed. For one embodiment, a process is disclosed to provide for significantly reduced computation through automated symbolic indexing of a property assertion and to compute the satisfiability of the property assertion directly from a symbolic simulation of the indexed property assertion. For an alternative embodiment a process using indexed property assertions on a symbolic lattice domain to represent and verify properties, provides an efficient symbolic manipulation technique using binary decision diagrams (BDDs). Methods for computing symbolic simulations, and verifying satisfiability may be applicable with respect to property assertions that are symbolically indexed under specific disclosed conditions.
    Type: Grant
    Filed: December 3, 2002
    Date of Patent: December 18, 2007
    Assignee: Intel Corporation
    Inventors: Thomas F. Melham, Robert B. Jones
  • Patent number: 7308661
    Abstract: A method and apparatus are provided for implementing characteristic impedance discontinuity reduction in customized high-speed flexible circuit applications. A curved artwork region is selected and selected cells are scanned. An area on opposite sides of a signal wire within each cell is determined. The identified areas are compared using a user defined delta value. If the compared areas differ greater than the user defined delta value, then a coordinate change is computed for moving the signal wire to reduce characteristic impedance discontinuity.
    Type: Grant
    Filed: December 9, 2004
    Date of Patent: December 11, 2007
    Assignee: International Business Machines Corporation
    Inventor: Matthew Stephen Doyle
  • Patent number: 7308657
    Abstract: The present invention provides a method, apparatus and article of manufacture for generating hints for use when performing reach-ability analysis of a program such as programmatic representations of hardware circuits. The hints are generated from external inputs to the program which are used in conditional statements of the program. Further such an external input may be excluded from the hints if none of the statements of at least one of the alternative paths following from the conditional statement in which it is used have a data dependency to another statement of the program.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: December 11, 2007
    Assignee: International Business Machines Corporation
    Inventor: David Ward