Patents Examined by Sharidan Carrillo
  • Patent number: 9498800
    Abstract: A device for removing impurities from shredded plastic has a first and second cleaning disk with first and second cleaning surfaces, the cleaning surfaces are opposite each other and delimit a cleaning gap. A drive device rotates the cleaning disks, and shredded plastic is fed between the cleaning disks. The cleaning surfaces have a plurality of cleaning ribs extending between an inner and outer edge of the cleaning surfaces, wherein at least one flank of the cleaning ribs is angled or curved relative to the axial direction of the respective cleaning disk, and a plurality of cleaning bars running transversally to the direction of extension of the cleaning ribs are arranged between at least some cleaning ribs neighboring each other.
    Type: Grant
    Filed: July 13, 2012
    Date of Patent: November 22, 2016
    Assignee: CVP Clean Value Plastics GmbH
    Inventors: Michael Hofmann, Alexander Gercke, Carsten Wermter
  • Patent number: 9370802
    Abstract: In a method of cleaning and sterilizing an ultrapure water manufacturing system including an ultrapure water manufacturing apparatus, a point of use of ultrapure water, and an ultrapure water channel connecting the ultrapure water manufacturing apparatus and the point of use, a cleaning and sterilizing process including an alkali cleaning step for cleaning at least part of the inside of the system with alkaline solution and a sterilizing step for sterilizing the system with sterile water after the alkali cleaning is treated twice or more. By treating the cleaning and sterilizing process twice or more, metals, organic substances, particles, and bacteria inside the ultrapure water manufacturing system can be highly efficiently removed, and thereby ultrapure water satisfying required water quality can be produced within a short period of time after the cleaning and sterilizing.
    Type: Grant
    Filed: March 27, 2008
    Date of Patent: June 21, 2016
    Assignee: KURITA WATER INDUSTRIES LTD.
    Inventor: Ikunori Yokoi
  • Patent number: 9321087
    Abstract: An apparatus for treating the surface of a microelectronic workpiece via impingement of the surface with at least one fluid and a method for operating the apparatus are described. In particular, the apparatus includes a treatment chamber defining an interior space to treat the microelectronic workpiece with at least one fluid within the treatment chamber, and a movable chuck that supports the workpiece within the treatment chamber. The apparatus further includes a workpiece translational drive system configured to translate the movable chuck between a workpiece load position and at least one processing position at which the workpiece is treated with the at least one fluid using at least one nozzle connected to at least one fluid supply, and a workpiece rotational drive system configured to rotate the microelectronic workpiece.
    Type: Grant
    Filed: September 10, 2013
    Date of Patent: April 26, 2016
    Assignee: TFL FSI, Inc.
    Inventors: Mark Goluch, David C. Zimmerman, Robert E. Larson, Edward Deneen Hanzlik, Gregory Paul Thomes, Christina Ann Rathman
  • Patent number: 8128755
    Abstract: Disclosed are cleaning solvents and cleaning methods for metallic compounds deposited on the equipment that supplies organometallic compounds to the manufacturing tool in the photovoltaic industry or the semiconductor industry. The cleaning solvents and the cleaning methods disclosed not only selectively remove the metallic compound without corroding the equipment, but also improve the ordinary cleaning process. Moreover, the cleaning solvents and the cleaning methods disclosed improve maintenance costs for the supply system because the equipment may be cleaned without being detached from the supply system.
    Type: Grant
    Filed: June 17, 2010
    Date of Patent: March 6, 2012
    Assignee: L'Air Liquide Societe Anonyme pour l'Etude Et L'Exploitation des Procedes Georges Claude
    Inventor: Yoichi Sakata
  • Patent number: 8083861
    Abstract: An apparatus and method for cleaning passageways and the like with a two-phase mixture of gas under pressure and an aqueous cleaning solution. The two-phase cleaning mixture is generated in a module and is passed out of the module at a predetermined rate that determines droplet size, velocity and droplet density at the pipeline surface to be cleaned. The droplets impact the walls of the passageway to be cleaned, thereby fragmenting, eroding and removing contaminants in said passageway. These are then flushed out of the passageway by the two-phase flow. The flow of cleaning solution can be steady or pulsed. The apparatus and process include a clean-in-place system that is useful in food, beverage, pharmaceutical and similar process industries.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: December 27, 2011
    Inventors: Mohamed Emam Labib, Chung-Yue Lai, Yacoob Tabani
  • Patent number: 8025737
    Abstract: A substrate cleaning apparatus for cleaning a front-side clean target surface 1a and a back-side clean target surface 1b of a edge portion of a substrate 1 by wiping surfaces 12a, 12b of a cleaning tape 12. The substrate cleaning apparatus includes a presser member 11a for pressing the cleaning tape 12 against the clean target surface 1a, a presser member 11b for pressing the cleaning tape 12 against the clean target surface 1b, a tape path passing through between the presser member 11a and the clean target surface 1a and between the presser member 11b and the clean target surface 1b, a moving device for moving the cleaning tape 12 and the substrate 1 relative to each other in a longitudinal direction of the edge portion.
    Type: Grant
    Filed: October 29, 2009
    Date of Patent: September 27, 2011
    Assignee: Panasonic Corporation
    Inventors: Masaya Watanabe, Sakae Kobayashi, Akira Kabeshita
  • Patent number: 8021490
    Abstract: A method for removing common contaminates or residues which include but are not limited to ionic residues, particulate residues and moisture from semiconductor wafers used in the manufacture of IC (integrated circuits), liquid crystal displays and flat panel displays. The process includes the use of certain esters or certain esters combined with particular co-solvents. The cleaning method may be utilized in a variety of cleaning processes or process steps and offers economic and performance advantages.
    Type: Grant
    Filed: January 4, 2007
    Date of Patent: September 20, 2011
    Assignee: Eastman Chemical Company
    Inventors: Michael W. Quillen, L Palmer Holbrook, John Cleaon Moore
  • Patent number: 8016949
    Abstract: In particular a porous substrate (FS) like a fabric. Process to clean a substrate, comprising a step of subjecting the substrate to an air-water spray (SPR), generated using a spraying means (N) comprising an air passage (OPA) and a water passage (OPW), wherein air is greater than 90% by volume of the spray, the air velocity is greater than 80 m/s and wherein said air passage does not coaxially surround said water passage. Device to clean soiled fabric (FS) comprising a feed water container (CW) and an air compressor (AC) in fluid communication with a spray nozzle (N) comprising an air passage and a water passage, said device being capable of generating an air pressure in the range of 1 to 3 bar (absolute) and an air velocity greater than 80 m/s at the exit of said nozzle; and the air is greater than 90% volume of said spray, and wherein said air passage does not coaxially surround said water passage. An external mix spray nozzle is especially preferred in the device.
    Type: Grant
    Filed: January 27, 2009
    Date of Patent: September 13, 2011
    Assignee: Conopco Inc.
    Inventors: Suresh Sambamurthy Jayaraman, Kirtan Shravan Kamkar, Lalit Kumar, Amit Sah, Rudra Saurabh Shresth
  • Patent number: 8007594
    Abstract: A method for manufacturing a semiconductor device includes the step of conducting a cleaning process for a wafer formed with copper wiring lines to remove contaminations produced on a back surface of the wafer. The cleaning process is conducted by injecting onto the back surface of the wafer an etchant for removing contaminations and simultaneously injecting onto a front surface of the wafer a reductant containing hydrogen.
    Type: Grant
    Filed: July 12, 2010
    Date of Patent: August 30, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Young Bang Lee, Kwang Kee Chae, Ok Min Moon
  • Patent number: 8007593
    Abstract: A remover composition containing 1,3-propanediamine (a), 1-hydroxyethylidene-1, 1-diphosphonic acid (b) and water, wherein the remover composition contains the component (a) in an amount of from 0.2 to 30% by weight, the component (b) in an amount of from 0.05 to 10% by weight, and the water in an amount of from 60 to 99.75% by weight, and wherein the composition has a pH at 20° C. of from 9 to 13; and a remover composition containing an organic amine (A), an organic phosphonic acid (B), a linear sugar alcohol (C) and water, wherein the remover composition contains the component (A) in an amount of from 0.2 to 30% by weight, the component (B) in an amount of from 0.05 to 10% by weight, the component (C) in an amount of from 0.1 to 10% by weight, and the water in an amount of from 50 to 99.65% by weight, and wherein the composition has a pH at 20° C. of from 9 to 13.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: August 30, 2011
    Assignee: Kao Corporation
    Inventors: Sadaharu Miyamoto, Yasushi Sasaki
  • Patent number: 7998275
    Abstract: A method for cleaning a circumferential surface of a cylinder of a printing press provides for improved cleaning to be achieved during a short time. In order to clean the circumferential surface, a cleaning fluid is first applied to the circumferential surface. In order then to remove contaminants, the contaminants a broken up mechanically after the cleaning fluid is applied and before a cleaning apparatus acting on the circumferential surface is set into operation.
    Type: Grant
    Filed: February 24, 2009
    Date of Patent: August 16, 2011
    Assignee: Heidelberger Druckmaschinen AG
    Inventors: Jörg Frey, Jörg Heuschkel, Bernd Maier
  • Patent number: 7993467
    Abstract: An apparatus and method are provided to remove matte-sticking adhered to an inner surface of an inclined rear jacket of an exhaust gas hood for collecting exhaust gas discharged during the converter operation in copper smelting. Scraping means 10a˜10f are each provided with a scraping part 20 for scraping off matte-sticking by moving slidably across the inner surface of the rear jacket 8 by driving means 11 from a sidewall of the exhaust gas hood to at least over the centerline L of the width of the rear jacket 8. The scraping means are arranged in pairs at both right and left sidewalls of the exhaust gas hood 6 in multiple levels along the inclination of the rear jacket 8, thereby allowing the matte-sticking adhered to the rear jacket 8 to be removed over almost its entire surface.
    Type: Grant
    Filed: September 8, 2008
    Date of Patent: August 9, 2011
    Assignee: Pan Pacific Copper Co., Ltd.
    Inventors: Yasuhiro Tsuchie, Masahiro Wakayama, Akira Yamashita
  • Patent number: 7976640
    Abstract: A method for the on-line cleaning of a heat exchanger used with petroleum process fluids which create coke deposits of asphaltenic origin on the exchanger tubes. The asphaltenes are removed by re-dissolution in a solvent oil of high solubility power for the asphaltenes. Certain asphaltenic crudes are useful as solvents in view of their chemical similarity to the asphaltene coke precursors; also useful are refined petroleum fractions such as gas oils which are also characterized by their solvency for asphaltenes. The solvent oil may be admitted to the heat exchanger following withdrawal of the process fluid and then allowed to soak and dissolve the asphaltene coke precursors after which the resulting solution may be withdrawn and the exchanger returned to use without being at any time disconnected from its associated process unit.
    Type: Grant
    Filed: March 29, 2006
    Date of Patent: July 12, 2011
    Assignee: ExxonMobil Research & Engineering Company
    Inventors: Douglas S. Meyer, Glen B. Brons, Ryan E. Vick, Douglas P. Bryant, Gary L. Novosad
  • Patent number: 7967913
    Abstract: A remote plasma process for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber after processing a substrate disposed in the substrate processing chamber. In one embodiment, the substrate is transferred out of the substrate processing chamber and a flow of a fluorine-containing etchant gas is introduced into a remote plasma source where reactive species are formed. A continuous flow of the reactive species from the remote plasmas source to the substrate processing chamber is generated while a cycle of high and low pressure clean steps is repeated. During the high pressure clean step, reactive species are flown into the substrate processing chamber while pressure within the substrate processing chamber is maintained between 4-15 Torr.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: June 28, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Zhong Qiang Hua, Sanjay Kamath, Young S. Lee, Ellie Y. Yieh, Hien-Minh Huu Le, Anjana M. Patel, Sudhir R. Gondhalekar
  • Patent number: 7964042
    Abstract: After the rinsing processing is completed, the rotation speed of the substrate is reduced from 600 rpm to 10 rpm to form a puddle-like DIW liquid film. After the supply of DIW is stopped, the control unit waits for a predetermined time (0.5 seconds) so that the film thickness t1 of the puddle-like liquid film becomes approximately uniform. Then, IPA is discharged to a central part of the surface of the substrate at a flow rate of 100 (mL/min) for instance. By the supply of IPA, DIW is replaced with IPA at the central part of the surface of the substrate to form a replaced region. Further, after three seconds of IPA supply, the rotation speed of the substrate is accelerated from 10 rpm to 300 rpm. This causes the replaced region to expand in a radial direction of the substrate so that the entire surface of the substrate is replaced with the low surface-tension solvent.
    Type: Grant
    Filed: July 24, 2008
    Date of Patent: June 21, 2011
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Tomonori Kojimaru, Katsuhiko Miya
  • Patent number: 7964040
    Abstract: An exhaust foreline for purging fluids from a semiconductor fabrication chamber is described. The foreline may include a first, second and third ports independently coupled to the chamber. A semiconductor fabrication system is also described that includes a substrate chamber that has a first, second and third interface port. The system may also include a multi-port foreline that has a first, second and third port, where the first foreline port is coupled to the first interface port, the second foreline port is coupled to the second interface port, and the third foreline port is coupled to the third interface port. The system may further include an exhaust vacuum coupled to the multi-port foreline.
    Type: Grant
    Filed: November 5, 2008
    Date of Patent: June 21, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Muhammad M. Rasheed, Dmitry Lubomirsky, James Santosa
  • Patent number: 7955440
    Abstract: After a water film is formed on a wafer front surface in a chamber, the water film is supplied sequentially with an oxidizing component of an oxidation gas, an organic acid component of an organic acid mist, an HF component of an HF gas, the organic acid mist, and the oxidizing component of the oxidation gas. As a result, the HF component and the organic acid component provide cleaning effect on the wafer surface, and a concentration of the cleaning components in the water film within a wafer surface can be even.
    Type: Grant
    Filed: November 21, 2008
    Date of Patent: June 7, 2011
    Assignee: Sumco Corporation
    Inventors: Shigeru Okuuchi, Kazushige Takaishi
  • Patent number: 7947129
    Abstract: An ion source apparatus includes a rare gas supply source supplying rare gas instead of ion source gas to a plasma chamber, means to determine time and timing for cleaning electrodes in consideration of a collecting amount of insulation layers accreting to the electrodes of an extraction electrode system. Based on the above, the ion source apparatus removes the insulation layers by sputtering with ion beam of the rare gas while adjusting extraction or accelerate voltage and supply amount of the rare gas as a setting parameter. Moreover, by adjusting the setting parameter which changes a diameter of ion beam based on the rare gas when the ion beam collides onto each electrode surface of the extraction electrode system, the beam diameter is focused within an effective range in which intension of the sputtering of the insulation layers is maximized thus evenly removing the insulation layers.
    Type: Grant
    Filed: June 4, 2004
    Date of Patent: May 24, 2011
    Assignee: SEN Corporation, an SHI and Axcelis Company
    Inventors: Hirohiko Murata, Masateru Sato
  • Patent number: 7947130
    Abstract: Semiconductor processing compositions for use with silicon wafers having an insulating layers and metallization layers on the wafers comprising water and one or more Troika acids which is also referred to as ?,?-disubstituted trifunctional oximes or ?-(Hydroxyimino) Phosphonoacetic acids, their salts, and their derivatives.
    Type: Grant
    Filed: September 24, 2010
    Date of Patent: May 24, 2011
    Inventor: Wai Mun Lee
  • Patent number: 7942980
    Abstract: Starch is removed from the surface of an article using a multi-step method that includes presoaking the article in an acidic solution to remove the starch from the surface of the article and washing the article in an alkaline solution to clean the article.
    Type: Grant
    Filed: February 9, 2006
    Date of Patent: May 17, 2011
    Assignee: Ecolab USA Inc.
    Inventors: Helmut Maier, Tomoaki Nakasone