Patents Examined by Stephanie P Duclair
  • Patent number: 10707091
    Abstract: A plasma etching method for plasma-etching an object including an etching target film and a patterned mask. The plasma etching method includes a first step of plasma-etching the etching target film using the mask, and a second step of depositing a silicon-containing film using plasma of a silicon-containing gas on at least a part of a side wall of the etching target film etched by the first step.
    Type: Grant
    Filed: November 19, 2018
    Date of Patent: July 7, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Keiji Kitagaito, Fumiya Kobayashi, Maju Tomura
  • Patent number: 10699935
    Abstract: A semiconductor manufacturing device includes a stage, a plurality of pins, and a driving unit. The stage includes a mounting surface. The mounting surface has a first region for mounting thereon a substrate, and a second region for mounting thereon a focus ring. The second region is provided to surround the first region. A plurality of holes is formed in the stage. The holes extend in a direction that intersects the mounting surface while passing through the boundary between the first region and the second region. The pins are provided in the respective holes. Each of the pins has a first and a second upper end surface. The second upper end surface is provided above the first upper end surface, and is offset towards the first region with respect to the first upper end surface. The driving unit moves the pins up and down in the aforementioned direction.
    Type: Grant
    Filed: December 21, 2017
    Date of Patent: June 30, 2020
    Assignee: TOKO ELECTRON LIMITED
    Inventors: Shinji Himori, Yoshiyuki Kobayashi, Takehiro Kato, Etsuji Ito
  • Patent number: 10699908
    Abstract: A method of processing a semiconductor wafer includes depositing a silicon layer on the semiconductor wafer. The silicon layer has a substantially uniform thickness. The silicon layer is polished to smooth the silicon layer such that the thickness is substantially uniform after polishing.
    Type: Grant
    Filed: May 26, 2016
    Date of Patent: June 30, 2020
    Assignee: GlobalWafers Co., Ltd.
    Inventors: Guoqiang David Zhang, Mark Crooks, Tracy Michelle Ragan
  • Patent number: 10692729
    Abstract: An etching process method is provided that includes outputting a first high frequency power from a first high frequency power supply in a cryogenic temperature environment where the temperature of a substrate is controlled to be less than or equal to ?35° C., supplying a sulfur fluoride-containing gas and a hydrogen-containing gas, generating a plasma from the supplied sulfur fluoride-containing gas and hydrogen-containing gas, and etching a laminated film made up of laminated layers of silicon-containing films having different compositions with the generated plasma.
    Type: Grant
    Filed: June 16, 2017
    Date of Patent: June 23, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Jin Kudo, Wataru Takayama, Maju Tomura
  • Patent number: 10675657
    Abstract: A method for fabricating an optical element is provided. The fabrication method includes the following steps. A substrate is provided. A plurality of metal grids are formed on the substrate. A first organic layer is formed on the substrate between the plurality of metal grids. A second organic layer is formed on the first organic layer and the plurality of metal grids. The second organic layer and the first organic layer are etched to leave the plurality of metal grids and a plurality of patterned second organic layers on the plurality of metal grids. An optical element fabricated by the method is also provided.
    Type: Grant
    Filed: July 10, 2018
    Date of Patent: June 9, 2020
    Assignee: VISERA TECHNOLOGIES COMPANY LIMITED
    Inventors: Sheng-Chuan Cheng, Huang-Jen Chen, Chi-Han Lin, Han-Lin Wu
  • Patent number: 10669449
    Abstract: Chemical Mechanical Planarization (CMP) polishing compositions comprising composite particles, such as ceria coated silica particles, offer low dishing, low defects, and high removal rate for polishing oxide films. Chemical Mechanical Planarization (CMP) polishing compositions have shown excellent performance using soft polishing pad.
    Type: Grant
    Filed: September 9, 2018
    Date of Patent: June 2, 2020
    Assignee: VERSUM MATERIALS US, LLC
    Inventors: Hongjun Zhou, Jo-Ann Theresa Schwartz, Malcolm Grief, Xiaobo Shi, Krishna P. Murella, Steven Charles Winchester, John Edward Quincy Hughes, Mark Leonard O'Neill, Andrew J. Dodd, Dnyanesh Chandrakant Tamboli, Reinaldo Mario Machado
  • Patent number: 10665516
    Abstract: The present invention relates to an etching method including a reaction layer forming step of forming a reaction layer by adsorption of a gas on a surface of an etching target material, a desorption step of desorbing the reaction layer after the reaction layer forming step, and a removal step of removing the reaction layer or a deposited film, characterized in that the surface of the etching target material is etched by the reaction layer forming step and the desorption step.
    Type: Grant
    Filed: August 30, 2017
    Date of Patent: May 26, 2020
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Miyako Matsui, Kenichi Kuwahara, Naoki Yasui, Masaru Izawa, Tatehito Usui, Takeshi Ohmori
  • Patent number: 10662538
    Abstract: The invention relates to a method for producing a diamond electrode, which comprises the following steps: a) providing a main body (2) composed of silicon, the dimensions of which are greater than the dimensions of the diamond electrode (22) to be produced, b) etching at least one recess (10) into the surface of the main body (2), c) introducing predetermined breaking points (18) into the main body (2), d) coating the main body (2) with diamond, e) breaking the diamond electrode (22) out of the main body (2) along the predetermined breaking points (18).
    Type: Grant
    Filed: May 25, 2016
    Date of Patent: May 26, 2020
    Assignee: CONDIAS GmbH
    Inventors: Matthias Fryda, Thorsten Matthée
  • Patent number: 10658194
    Abstract: A method for processing a substrate in a processing chamber, comprising forming a deposition over the substrate is provided. A silicon containing gas is flowed into the processing chamber. A COS containing gas is flowed into the processing chamber. A plasma is formed from the silicon containing gas and the COS containing gas in the processing chamber, wherein the plasma provides the deposition over the substrate.
    Type: Grant
    Filed: August 23, 2016
    Date of Patent: May 19, 2020
    Assignee: Lam Research Corporation
    Inventors: Zhongkui Tan, Qing Xu, Qian Fu, Hua Xiang, Lin Zhao
  • Patent number: 10658181
    Abstract: A method of spacer-defined direct patterning in semiconductor fabrication includes: providing a photoresist structure having a target width of lines; trimming the photoresist structures such that a width of each trimmed photoresist structure is smaller than the target width; depositing an oxide film on the template, thereby entirely covering with the oxide film an exposed top surface of the template and the trimmed photoresist structures; etching the oxide film-covered template to remove an unwanted portion of the oxide film without removing the trimmed photoresist structures so as to form vertical spacers isolated from each other, each spacer substantially maintaining the target width and being constituted by the trimmed photoresist structures and a vertical portion of the oxide film covering sidewalls of the trimmed photoresist structures; and etching the spacer-formed template to transfer a pattern constituted by the spacers to the template.
    Type: Grant
    Filed: February 20, 2018
    Date of Patent: May 19, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: Toshihisa Nozawa, Dai Ishikawa, Tomohiro Kubota
  • Patent number: 10647900
    Abstract: A chemical-mechanical polishing (CMP) composition is provided comprising (A) one or more compounds selected from the group of benzotriazole derivatives which act as corrosion inhibitors and (B) inorganic particles, organic particles, or a composite or mixture thereof. The invention also relates to the use of certain compounds selected from the group of benzotriazole derivatives as corrosion inhibitors, especially for increasing the selectivity of a chemical mechanical polishing (CMP) composition for the removal of tantalum or tantalum nitride from a substrate for the manufacture of a semiconductor device in the presence of copper on said substrate.
    Type: Grant
    Filed: July 1, 2014
    Date of Patent: May 12, 2020
    Assignee: BASF SE
    Inventors: Robert Reichardt, Martin Kaller, Michael Lauter, Yuzhuo Li, Andreas Klipp
  • Patent number: 10649328
    Abstract: High etch contrast materials provide the basis for using pre-patterned template structure with a template hardmask having periodic holes and filler within the holes that provides the basis for rapidly obtaining high resolution patterns guided by the template and high etch contrast resist. Methods are described for performing the radiation lithography, e.g., EUV radiation lithography, using the pre-patterned templates. Also, methods are described for forming the templates. The materials for forming the templates are described.
    Type: Grant
    Filed: March 10, 2017
    Date of Patent: May 12, 2020
    Assignee: Inpria Corporation
    Inventors: Jason K. Stowers, Andrew Grenville
  • Patent number: 10622269
    Abstract: The present invention relates to an etching method including a reaction layer forming step of forming a reaction layer by adsorption of a gas on a surface of an etching target material, a desorption step of desorbing the reaction layer after the reaction layer forming step, and a removal step of removing the reaction layer or a deposited film, characterized in that the surface of the etching target material is etched by the reaction layer forming step and the desorption step.
    Type: Grant
    Filed: August 30, 2017
    Date of Patent: April 14, 2020
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Miyako Matsui, Kenichi Kuwahara, Naoki Yasui, Masaru Izawa, Tatehito Usui, Takeshi Ohmori
  • Patent number: 10612145
    Abstract: The present disclosure relates to a method for creating an optical component having a spatially controlled refractive index. The method may involve applying a thin metal material layer to a substrate. The thin metal material layer may then be heated to create a mask having a spatially varying nano-particle distribution. The substrate may then be etched, using the mask, to imprint a spatially patterned nanostructure pattern on a surface the substrate.
    Type: Grant
    Filed: June 16, 2017
    Date of Patent: April 7, 2020
    Assignee: Lawrence Livermore National Security, LLC
    Inventor: Eyal Feigenbaum
  • Patent number: 10600655
    Abstract: A process for chemical mechanical polishing a substrate containing tungsten to at least reduce dishing of tungsten features of 100 ?m or less. The process includes providing a substrate containing tungsten features of 100 ?m or less; providing a polishing composition, containing, as initial components: water; an oxidizing agent; arginine or salts thereof; a dicarboxylic acid, a source of iron ions; a colloidal silica abrasive; and, optionally, a pH adjusting agent; and, optionally, a surfactant; and, optionally, a biocide; providing a chemical mechanical polishing pad, having a polishing surface; creating dynamic contact at an interface between the polishing pad and the substrate; and dispensing the polishing composition onto the polishing surface at or near the interface between the polishing pad and the substrate; wherein some of the tungsten is polished away from the substrate and yet at least reducing dishing of the tungsten features of 100 ?m or less.
    Type: Grant
    Filed: April 24, 2018
    Date of Patent: March 24, 2020
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Jia-De Peng, Lin-Chen Ho, Syin Hsu
  • Patent number: 10577696
    Abstract: Provided is a copper etchant composition including: a first organic acid containing one or more amine groups, and one or more carboxylic acid groups; a second organic acid; an amine compound; hydrogen peroxide; and a phosphate compound, which has the increased number of processing sheets and etching uniformity, when etching copper.
    Type: Grant
    Filed: December 21, 2016
    Date of Patent: March 3, 2020
    Assignee: ENF Technology CO., LTD.
    Inventors: Seul Ki Kim, Se Hoon Kim
  • Patent number: 10570315
    Abstract: Described herein are polishing compositions containing an abrasive and a buffering material, wherein the pH of the polishing composition is about 6 to about 9, and methods of preparing and using the same.
    Type: Grant
    Filed: October 30, 2017
    Date of Patent: February 25, 2020
    Assignee: FUJIMI INCORPORATED
    Inventors: Hooi-Sung Kim, Anne Miller
  • Patent number: 10566441
    Abstract: Methods of forming integrated circuits are provided herein. In an embodiment, a method of forming an integrated circuit includes providing a semiconductor substrate. The semiconductor substrate includes a plurality of gate structures that have sidewalls spacers disposed adjacent to the gate structures. A gap is defined between sidewall spacers of adjacent gate structures. The method proceeds with decreasing an aspect ratio between a width of the gap at an opening thereto and a depth of the gap, wherein an aspect ratio between a width of the gap at a base of the sidewall spacers and the depth of the gap remains substantially unchanged after decreasing the aspect ratio between the width of the gap at the opening thereto.
    Type: Grant
    Filed: February 14, 2018
    Date of Patent: February 18, 2020
    Assignee: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Hao Nong, Liang Li, Chiew Wah Yap, Ting Huo, Yung Fu Chong, Yun Ling Tan
  • Patent number: 10559467
    Abstract: Selective gas etching for self-aligned pattern transfer uses a first block and a separate second block formed in a sacrificial layer to transfer critical dimensions to a desired final layer using a selective gas etching process. The first block is a first hardmask material that can be plasma etched using a first gas, and the second block is a second hardmask material that can be plasma etched using a second gas separate from the first gas. The first hardmask material is not plasma etched using the second gas, and the second hardmask material is not plasma etched using the first gas.
    Type: Grant
    Filed: June 6, 2018
    Date of Patent: February 11, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES COPORATION
    Inventors: John Christopher Arnold, Sean D. Burns, Yann Alain Marcel Mignot, Yongan Xu
  • Patent number: 10553443
    Abstract: According to one embodiment, a pattern formation method includes forming a structure body on a first surface of a patterning member, the structure body having protrusions and a recess. The protrusions are arranged at a first pitch along a first direction. The first direction is aligned with the first surface. The recess is between the protrusions. The method further includes forming a resin film of a block copolymer on the structure body. The block copolymer includes first portions and second portions. The first and second portions are arranged alternately at a second pitch along the first direction. The structure body includes first and second regions. The first portions are on the first regions. The second portions on the second regions. The method further includes removing the second portions and the second regions, introducing a metal to the first regions, and etching the patterning member using the first regions.
    Type: Grant
    Filed: September 13, 2017
    Date of Patent: February 4, 2020
    Assignee: Toshiba Memory Corporation
    Inventors: Tomoaki Sawabe, Shinobu Sugimura, Koji Asakawa