Patents Examined by Stephanie P Duclair
  • Patent number: 11518913
    Abstract: A fluid composition suitable for chemical mechanical polishing a substrate can in include a multi-valent metal borate, at least one oxidizer, and a solvent. The fluid composition can be essentially free of abrasive particles and may achieve a high material removal rate and excellent surface finish.
    Type: Grant
    Filed: August 27, 2020
    Date of Patent: December 6, 2022
    Assignee: SAINT-GOBAIN CERAMICS & PLASTICS, INC.
    Inventors: Lin Fu, Jason A. Sherlock, Long Huy Bui, Douglas E. Ward
  • Patent number: 11499078
    Abstract: A slurry containing abrasive grains and a liquid medium, in which the abrasive grains include first particles and second particles in contact with the first particles, the first particles contain cerium oxide, the second particles contain a cerium compound, and an Rsp value calculated by Formula (1) below is 1.60 or more: Rsp=(Tb/Tav)?1??(1) [in the formula, Tav represents a relaxation time (unit: ms) obtained by pulsed NMR measurement of the slurry in a case where a content of the abrasive grains is 2.0% by mass, and Tb represents a relaxation time (unit: ms) obtained by pulsed NMR measurement of a supernatant solution obtained when the slurry is subjected to centrifugal separation for 50 minutes at a centrifugal acceleration of 2.36×105 G in a case where the content of the abrasive grains is 2.0% by mass.
    Type: Grant
    Filed: September 25, 2018
    Date of Patent: November 15, 2022
    Assignee: SHOWA DENKO MATERIALS CO., LTD.
    Inventors: Tomomi Kukita, Tomohiro Iwano, Takaaki Matsumoto, Tomoyasu Hasegawa
  • Patent number: 11488835
    Abstract: Exemplary etching methods may include flowing a halogen-containing precursor into a remote plasma region of a semiconductor processing chamber while striking a plasma to produce plasma effluents. The methods may include contacting a substrate housed in a processing region with the plasma effluents. The substrate may define an exposed region of tungsten oxide. The contacting may produce a tungsten oxy-fluoride material. The methods may include flowing an etchant precursor into the processing region. The methods may include contacting the tungsten oxy-fluoride material with the etchant precursor. The methods may include removing the tungsten oxy-fluoride material.
    Type: Grant
    Filed: November 20, 2020
    Date of Patent: November 1, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Zhenjiang Cui, Rohan Puligoru Reddy, Anchuan Wang
  • Patent number: 11482408
    Abstract: A method of processing a wafer having a first surface and a second surface opposite the first surface is provided. The method includes the steps of: holding the second surface of the wafer such that the first surface thereof is exposed; processing an exposed first surface side of an outer circumferential edge portion of the wafer with a processing tool including a grinding stone made of abrasive grains bound together by a bonding material, thereby forming on the outer circumferential edge portion a slanted surface that is inclined to the first surface so as to be progressively closer to the second surface in a direction from a central area of the wafer toward an outer circumferential edge thereof; and coating the first surface of the wafer with a liquid material according to a spin coating process, thereby forming a resist film on the first surface of the wafer.
    Type: Grant
    Filed: June 23, 2020
    Date of Patent: October 25, 2022
    Assignee: DISCO CORPORATION
    Inventor: Steve Latina
  • Patent number: 11462413
    Abstract: Apparatus, systems, and methods for conducting an etch removal process on a workpiece are provided. The method can include generating a plasma from a deposition process gas in a plasma chamber using a plasma source to deposit a passivation layer on certain layers of a high aspect ratio structure. The method can include generating a plasma from an etch process gas in a plasma chamber using a plasma source to remove certain layers from the high aspect ratio structure. The method can include removing silicon nitride layers at a faster etch rate than silicon dioxide layers on the high aspect ratio structure.
    Type: Grant
    Filed: July 16, 2020
    Date of Patent: October 4, 2022
    Assignees: BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD., MATTSON TECHNOLOGY, INC
    Inventors: Shanyu Wang, Chun Yan, Hua Chung, Michael X. Yang, Tsai Wen Sung, Qi Zhang
  • Patent number: 11456179
    Abstract: Disclosed are approaches for forming a semiconductor device. In some embodiments, a method may include providing a patterned hardmask over a substrate, and providing, from an ion source, a plasma treatment to a first section of the patterned hardmask, wherein a second section of the patterned hardmask does not receive the plasma treatment. The method may further include etching the substrate to form a plurality of fins in the substrate, wherein the first section of the patterned hardmask is etched faster than the second section of the patterned hardmask.
    Type: Grant
    Filed: July 14, 2020
    Date of Patent: September 27, 2022
    Assignee: Applied Materials, Inc.
    Inventor: Min Gyu Sung
  • Patent number: 11456170
    Abstract: A cleaning solution includes a first solvent having Hansen solubility parameters 25>?d>13, 25>?p>3, and 30>?h>4; an acid having an acid dissociation constant, pKa, of ?11<pKa<4, or a base having a pKa of 40 > pKa>9.5; and a surfactant. The surfactant is one or more of an ionic surfactant, a polyethylene oxide and a polypropylene oxide, a non-ionic surfactant, and combinations thereof.
    Type: Grant
    Filed: February 3, 2020
    Date of Patent: September 27, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: An-Ren Zi, Ching-Yu Chang
  • Patent number: 11448806
    Abstract: A lithographic patterning of a resist is performed to create a mandrel over a substrate. A deposition of one or more functional materials on the mandrel is performed. And each functional material has a respective refractive index. A selective removal of the mandrel is performed to create a plurality of grating elements formed from the one or more functional materials. The plurality of grating elements are self-aligned and form a diffraction grating. Each grating element may have a heterogenous refractive index (e.g., substantial normal to and/or parallel to a surface of the substrate). The diffraction grating may be used in a near-eye display.
    Type: Grant
    Filed: March 15, 2021
    Date of Patent: September 20, 2022
    Assignee: Meta Platforms Technologies, LLC
    Inventors: Matthew E. Colburn, Giuseppe Calafiore, Matthieu Charles Raoul Leibovici, Maxwell Parsons
  • Patent number: 11450537
    Abstract: A substrate processing method includes (a) forming a recess on a workpiece by partially etching the workpiece; and (b) forming a film having a thickness that differs along a depth direction of the recess, on a side wall of the recess. Step (b) includes (b-1) supplying a first reactant, and causing the first reactant to be adsorbed to the side wall of the recess; and (b-2) supplying a second reactant, and causing the second reactant to react with the first reactant thereby forming a film.
    Type: Grant
    Filed: February 28, 2020
    Date of Patent: September 20, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Sho Kumakura, Hironari Sasagawa, Maju Tomura, Yoshihide Kihara
  • Patent number: 11441229
    Abstract: A method of selectively removing NiPt material from a microelectronic substrate, the method comprising contacting the NiPt material with an aqueous etching composition comprising: an oxidising agent; a strong acid; and a source of chloride.
    Type: Grant
    Filed: June 18, 2019
    Date of Patent: September 13, 2022
    Assignee: ENTEGRIS, INC.
    Inventor: SeongJin Hong
  • Patent number: 11443947
    Abstract: A method for forming an etching mask includes forming a mask layer containing an organic material on a layer to be patterned using the etching mask in a subsequent etching process, processing the mask layer to form a pattern including an opening, forming a filling layer in the opening, impregnating the mask layer with a metal material, and removing the filling layer. The organic material in the mask layer includes reaction sites that react with the metal material, and the filling layer has fewer the reaction sites per the unit volume than the mask layer.
    Type: Grant
    Filed: February 28, 2020
    Date of Patent: September 13, 2022
    Assignee: KIOXIA CORPORATION
    Inventor: Hironobu Sato
  • Patent number: 11443950
    Abstract: A method for figuring an optical surface of an optical element to achieve a target profile for the optical surface includes: applying a removal process to an extended region of the optical surface extending along a first direction to remove material from the extended region of the optical surface; adjusting a position of the optical surface relative to the removal process along a second direction perpendicular to the first direction to remove material from additional extended regions of the optical surface extending along the first direction at each of different positions of the optical surface along the second direction; and repeating the applying of the removal process and the adjusting of the optical surface relative to the removal process for each of multiple rotational orientations of the optical surface about a third direction perpendicular to the first and second directions to achieve the target profile of the optical surface.
    Type: Grant
    Filed: February 25, 2020
    Date of Patent: September 13, 2022
    Assignee: Zygo Corporation
    Inventor: Andrew Nelson
  • Patent number: 11437242
    Abstract: Exemplary methods of etching semiconductor substrates may include flowing a fluorine-containing precursor into a processing region of a semiconductor processing chamber. The processing region may house a substrate having an exposed region of a first silicon-containing material and an exposed region of a second silicon-containing material. The second silicon-containing material may be exposed within a recessed feature defined by the substrate. The methods may include flowing a silicon-containing precursor into the processing region of the semiconductor processing chamber. The methods may include forming a plasma within the processing region of the semiconductor processing chamber to generate plasma effluents of the fluorine-containing precursor and the silicon-containing precursor. The methods may include contacting the substrate with the plasma effluents. The methods may include removing at least a portion of the second silicon-containing material.
    Type: Grant
    Filed: November 27, 2018
    Date of Patent: September 6, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Jungmin Ko, Kwang-Soo Kim, Thomas Choi, Nitin Ingle
  • Patent number: 11429065
    Abstract: A method of manufacturing a clock or watch component (19; 29) includes (i) providing (E11; E21) a wafer (11; 21) having a single slice (12; 22) including a material of the component, notably silicon, diamond, quartz, sapphire or ceramic, optionally first coating the lower surface of the slice (22) with a lower layer (24), (iii) etching (E12 to E14; E22 to E24) the slice (12; 22) starting from its upper surface to form at least one clock or watch component, (iv) revealing (E15; E25) at least one clock or watch component (19; 29), by removing a layer that served as a mask for etching (E15; E25) and (y) optionally releasing (E26) the slice and the at least one etched clock or watch component by removing the lower layer (24).
    Type: Grant
    Filed: November 28, 2018
    Date of Patent: August 30, 2022
    Assignee: ROLEX SA
    Inventors: Richard Bossart, Nima Merk
  • Patent number: 11424134
    Abstract: The present disclosure generally relates to methods for selectively etching copper, cobalt, and/or aluminum layers on a substrate semiconductor manufacturing applications. A substrate comprising one or more copper layers, cobalt layers, or aluminum layers is transferred to a processing chamber. The surface of the copper, cobalt, or aluminum layer is oxidized. The oxidized copper, cobalt, or aluminum surface is then exposed to hexafluoroacetylacetonate vapor. The hexafluoroacetylacetonate vapor reacts with the oxidized copper, cobalt, or aluminum surface to form a volatile compound, which is then pumped out of the chamber. The reaction of the oxidized copper, cobalt, or aluminum surface with the hexafluoroacetylacetonate vapor selectively atomic layer etches the copper, cobalt, or aluminum surface.
    Type: Grant
    Filed: August 27, 2020
    Date of Patent: August 23, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Nitin Deepak, Prerna Sonthalia Goradia
  • Patent number: 11421131
    Abstract: Provided is a polishing composition which contains a water-soluble polymer and is suitable for reducing LPDs. The polishing composition provided in this application includes an abrasive, a water-soluble polymer, and a basic compound. In the polishing composition, the content of a reaction product of a polymerization initiator and a polymerization inhibitor is 0.1 ppb or less of the polishing composition on a weight basis.
    Type: Grant
    Filed: October 19, 2018
    Date of Patent: August 23, 2022
    Assignees: FUJIMI INCORPORATED, TOAGOSEI CO., LTD.
    Inventors: Kohsuke Tsuchiya, Hisanori Tansho, Yusuke Suga, Taiki Ichitsubo, Takayuki Takemoto, Naohiko Saito, Michihiro Kaai
  • Patent number: 11404282
    Abstract: A method of etching a film of a substrate is provided. The substrate includes an underlying region, the film and a mask. The film is provided on the underlying region. The mask is provided on the film. The method includes performing main etching on the film. The main etching is plasma etching of the film and exposes at least a part of the underlying region. The method further includes forming a protective layer on at least a side wall surface of the mask after the performing of the main etching. A material of the protective layer is different from a material of the film. The method further includes performing over-etching on the film after the forming of the protective layer. The over-etching is plasma etching of the film.
    Type: Grant
    Filed: March 19, 2020
    Date of Patent: August 2, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kosuke Ogasawara, Takahisa Iwasaki, Kentaro Ishii, Seiji Ide, Chiju Hsieh
  • Patent number: 11401442
    Abstract: A polishing liquid is used for chemical mechanical polishing and includes colloidal silica; and an onium salt containing a cation, in which a content of the onium salt is more than 0.01% by mass, a zeta potential of the colloidal silica measured in a state where the colloidal silica is present in the polishing liquid is 15 mV or more, an electrical conductivity is 10 ?S/cm or more, and a pH is 2 to 4.
    Type: Grant
    Filed: July 23, 2020
    Date of Patent: August 2, 2022
    Assignee: FUJIFILM CORPORATION
    Inventor: Tetsuya Kamimura
  • Patent number: 11398386
    Abstract: In one example, a method of processing a substrate includes receiving a substrate in a processing chamber, the substrate having an etch mask positioned over an underlying layer to be etched, where the underlying layer is a silicon-containing layer. The method includes executing a first etch process that includes forming a first plasma from a first process gas that includes hydrogen bromide or chlorine and etching the underlying layer using products of the first plasma. The method includes executing a second etch process that includes forming a second plasma from a second process gas that includes fluorine and etching the substrate using products from the second plasma. The method may include alternating between the first etch process and the second etch process.
    Type: Grant
    Filed: February 18, 2020
    Date of Patent: July 26, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Yusuke Yoshida, Sergey Voronin, Shyam Sridhar, Caitlin Philippi, Christopher Talone, Alok Ranjan
  • Patent number: 11393662
    Abstract: A method of plasma processing comprises generating electrons in a source chamber, generating an electric potential gradient between the source chamber and a processing chamber by applying a first negative direct current (DC) voltage to the source chamber and a ground voltage to the processing chamber, accelerating the electrons from the source chamber through a dielectric injector and into the processing chamber using the electric potential gradient, and generating an electron-beam sustained plasma (ESP) in the processing chamber using the electrons from the source chamber.
    Type: Grant
    Filed: May 14, 2019
    Date of Patent: July 19, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Zhiying Chen, Joel Blakeney, Megan Carruth, Peter Ventzek, Alok Ranjan, Kazuya Nagaseki