Patents Examined by Tiffany Z Nuckols
  • Patent number: 11976363
    Abstract: Pedestal assemblies, purge rings for pedestal assemblies, and processing methods for increasing residence time of an edge purge gas in heated pedestal assemblies are described. Purge rings have an inner diameter face and an outer diameter face defining a thickness of the purge ring, a top surface and a bottom surface defining a height of the purge ring, and a thermal expansion feature. Purge rings comprise a plurality of apertures extending through the thickness and aligned circumferentially with a plurality of circumferentially spaced purge outlets in a substrate support.
    Type: Grant
    Filed: August 19, 2021
    Date of Patent: May 7, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Muhannad Mustafa, Mario D. Silvetti, Kevin Griffin
  • Patent number: 11967511
    Abstract: Temperature uniformity in a mounting surface of a mounting table is improved. A plasma processing apparatus includes a mounting table having thereon a mounting surface on which a work-piece serving as a plasma processing target is mounted; a coolant path formed within the mounting table along the mounting surface of the mounting table; an inlet path connected to the coolant path from a backside of the mounting surface of the mounting table and configured to introduce a coolant into the coolant path; and a thermal resistor provided in a region, facing a connection portion between the inlet path and the coolant path, of an inner wall of the coolant path.
    Type: Grant
    Filed: June 28, 2022
    Date of Patent: April 23, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Akira Ishikawa
  • Patent number: 11935729
    Abstract: The disclosed substrate support includes a first region, a second region, a first electrode, and a second electrode. The first region is configured to hold a substrate placed thereon. The second region is provided to surround the first region and configured to hold an edge ring placed thereon. The first electrode is provided in the first region to receive a first electrical bias. The second electrode is provided in at least the second region to receive a second electrical bias. The second electrode extends below the first electrode to face the first electrode within the first region.
    Type: Grant
    Filed: March 2, 2021
    Date of Patent: March 19, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Hajime Tamura, Yasuharu Sasaki, Shin Yamaguchi, Tsuguto Sugawara, Katsuyuki Koizumi
  • Patent number: 11887873
    Abstract: A wafer placement apparatus includes a ceramic plate having an upper surface as a wafer placement surface and in which an electrode is embedded; and a cooling plate provided on a lower surface, opposite a wafer placement surface, of a ceramic plate and in which a refrigerant passage is provided, wherein a refrigerant passage includes a first passage forming a single continuous line and extending parallel to a wafer placement surface, and a second passage forming a single continuous line and extending along a first passage, an outlet of a second passage being positioned near an inlet of a first passage, an inlet of a second passage being positioned near an outlet of a first passage.
    Type: Grant
    Filed: March 18, 2020
    Date of Patent: January 30, 2024
    Assignee: NGK INSULATORS, LTD.
    Inventors: Keita Mine, Takumi Wakisaka
  • Patent number: 11885004
    Abstract: The disclosure discloses a mask device and an evaporation device, so as to manufacture a special-shaped display panel, improve the manufacturing efficiency of the special-shaped display panel and lower the cost. The mask device provided by the embodiment of the present disclosure comprises a framework and a first strip plate, where the first strip plate is fixed on the framework and extends along the first direction, the first strip plate includes a first area and a second area, and the width of the first area is greater than the width of the second area in the direction parallel to the surface of the first strip plate and vertical to the first direction.
    Type: Grant
    Filed: February 5, 2018
    Date of Patent: January 30, 2024
    Assignees: WUHAN TIANMA MICROELECTRONICS CO., LTD., WUHAN TIANMA MICROELECTRONICS CO., LTD. SHANGHAI BRANCH
    Inventors: Naichao Mu, Yuan Li, Yu Xin, Jun Ma, Lijing Han
  • Patent number: 11875977
    Abstract: The plasma processing apparatus includes a chamber body, a stage, a gas supply mechanism, a DC power supply, a radio-frequency power supply, and a controller. The gas supply is configured to supply a heat transfer gas to the upper surface of the electrostatic chuck. The controller is configured to control the DC power supply. The controller controls the DC power supply to apply, to the electrostatic chuck, a voltage derived by combining an output of a first function that outputs a smaller value as the absolute value of a self-bias voltage generated according to the plasma becomes larger and an output of a second function that outputs a larger value as the pressure of the heat transfer gas supplied to the upper surface of the electrostatic chuck by the gas supply increases.
    Type: Grant
    Filed: April 5, 2019
    Date of Patent: January 16, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Chishio Koshimizu
  • Patent number: 11862439
    Abstract: In a substrate processing apparatus for processing a substrate, a processing chamber accommodating the substrate is provided. A mounting table is disposed in the processing chamber and configured to attract and hold the substrate using an electrostatic attractive force. A charge amount measurement unit is disposed in the processing chamber and configured to measure charge amount of a substrate attraction surface of the mounting table. A charge neutralization mechanism is configured to neutralize the substrate attraction surface of the mounting table. A retreating mechanism is configured to make the charge amount measurement unit retreat from a measurement position facing the substrate attraction surface of the mounting table.
    Type: Grant
    Filed: March 17, 2020
    Date of Patent: January 2, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Takahiro Kawawa, Hideomi Hosaka, Kouichi Nakajima, Masamichi Hara
  • Patent number: 11848177
    Abstract: An electrostatic chuck for a substrate processing system is provided. The electrostatic chuck includes: a top plate configured to electrostatically clamp to a substrate and formed of ceramic; an intermediate layer disposed below the top plate; and a baseplate disposed below the intermediate layer and formed of ceramic. The intermediate layer bonds the top plate to the baseplate.
    Type: Grant
    Filed: February 23, 2018
    Date of Patent: December 19, 2023
    Assignee: Lam Research Corporation
    Inventors: Feng Wang, Keith Gaff, Christopher Kimball
  • Patent number: 11842887
    Abstract: A film formation apparatus of the present invention is a film formation apparatus which performs deposition on a substrate to be processed, and includes a supply device that is disposed in an evacuable vacuum chamber and supplies a deposition material, and a holding device that holds the substrate to be processed during deposition. The holding device includes a deposition preventing plate that covers a region to which the deposition material is adhered in the holding device, a holder that holds the substrate to be processed, and a position setter that sets a position of the substrate to be processed when the deposition preventing plate and the holder sandwich and hold the substrate to be processed. The position setter includes a roller that comes into contact with a peripheral edge end surface portion of the substrate to be processed.
    Type: Grant
    Filed: June 28, 2018
    Date of Patent: December 12, 2023
    Assignee: ULVAC, INC.
    Inventors: Toshinori Kaneko, Tetsuhiro Ohno
  • Patent number: 11821106
    Abstract: A semiconductor process chamber includes a susceptor, a base plate surrounding the susceptor, a liner on an inner sidewall of the base plate, and a preheat ring between the susceptor and the base plate and coplanar with the susceptor. The process chamber further includes an upper dome coupled to the base plate and covering an upper surface of the susceptor. The upper dome includes a first section on an upper surface of the base plate and a second section extending from the first section and overlapping the susceptor. The first section includes a first region on the upper surface of the base plate, a second region extending from the first region past the base plate, and a third region extending from the second region with a decreasing thickness to contact the second section.
    Type: Grant
    Filed: January 12, 2018
    Date of Patent: November 21, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Keum Seok Park, Gyeom Kim, Yi Hwan Kim, Sun Jung Kim, Pan Kwi Park, Jeong Ho Yoo
  • Patent number: 11804365
    Abstract: There is provided is a technique that includes: a process chamber in which at least one substrate is processed; and at least one buffer chamber in which plasma is formed, wherein the at least one buffer chamber includes at least two application electrodes of different lengths to which high frequency electric power is applied, and a reference electrode subjected to a reference potential.
    Type: Grant
    Filed: February 25, 2022
    Date of Patent: October 31, 2023
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Tsuyoshi Takeda, Daisuke Hara
  • Patent number: 11795542
    Abstract: An apparatus and a method for coating workpieces with thermal barrier coatings. A manipulator shaft is guided here through a duct equipped with telescopic segments into the process chamber. The invention permits a particularly compact configuration of the plant.
    Type: Grant
    Filed: January 11, 2018
    Date of Patent: October 24, 2023
    Assignee: ALD VACUUM TECHNOLOGIES GMBH
    Inventors: Jörg Wittich, Simon Oberle, Jürgen Hotz
  • Patent number: 11781650
    Abstract: An edge seal for sealing an outer surface of a lower electrode assembly configured to support a semiconductor substrate in a plasma processing chamber, the lower electrode assembly including an annular groove defined between a lower member and an upper member of the lower electrode assembly. The edge seal includes an elastomeric band configured to be arranged within the groove, the elastomeric band having an annular upper surface, an annular lower surface, an inner surface, and an outer surface. When the elastomeric band is in an uncompressed state, the outer surface of the elastomeric band is concave. When the upper and lower surfaces are axially compressed at least 1% such that the elastomeric band is in a compressed state, an outward bulging of the outer surface is not greater than a predetermined distance. The predetermined distance corresponds to a maximum outer diameter of the elastomeric band in the uncompressed state.
    Type: Grant
    Filed: December 15, 2017
    Date of Patent: October 10, 2023
    Assignee: LAM RESEARCH CORPORATION
    Inventors: David Schaefer, Ambarish Chhatre, Keith William Gaff, Sung Je Kim, Brooke Mesler Lai
  • Patent number: 11776839
    Abstract: A substrate holding device is provided. The substrate holding device includes a substrate holder, a shaft attached to the substrate holder, a motor attached to the shaft, lifting pins, and a transmission assembly. The lifting pins are movable between a retracted position below a surface of the substrate holder, and a protruded position protruding from the surface. The transmission assembly is provided between the shaft and lifting pins and switches the substrate holding device between a transmittable state in which a driving force from the motor is transmitted to the lifting pins to move the lifting pins between the retracted position and the protruded position, and a non-transmittable state in which the driving force from the motor is not transmitted to the lifting pins but rotates the substrate holder.
    Type: Grant
    Filed: August 23, 2019
    Date of Patent: October 3, 2023
    Assignee: NISSIN ION EQUIPMENT CO., LTD.
    Inventors: Ippei Nishimura, Masatoshi Onoda
  • Patent number: 11776825
    Abstract: A heater and/or cooler chamber includes a heat storage block or chunk. In the block a multitude of parallel, stacked slit pockets are each dimensioned to accommodate a single plate shaped workpiece. Workpiece handling openings of the slit pockets are freed and respectively covered by a door arrangement. The slit pockets are tailored to snugly surround the plate shaped workpieces therein so as to establish an efficient heat transfer between the heat storage block or chunk and the workpieces to be cooled or heated.
    Type: Grant
    Filed: March 8, 2016
    Date of Patent: October 3, 2023
    Assignee: EVATEC AG
    Inventors: Rogier Lodder, Martin Schafer, Jurgen Weichart
  • Patent number: 11764071
    Abstract: Apparatus for use in preparing heterostructures having a reduced concentration of defects including apparatus for stressing semiconductor substrates to allow them to conform to a crystal having a different crystal lattice constant.
    Type: Grant
    Filed: June 11, 2019
    Date of Patent: September 19, 2023
    Assignee: GlobalWafers Co., Ltd.
    Inventors: Robert J. Falster, Vladimir V. Voronkov, John A. Pitney, Peter D. Albrecht
  • Patent number: 11728477
    Abstract: Manufacturing apparatus, systems and method of making silicon (Si) nanowires on carbon based powders, such as graphite, that may be used as anodes in lithium ion batteries are provided. In some embodiments, an inventive tumbler reactor and chemical vapor deposition (CVD) system and method for growing silicon nanowires on carbon based powders in scaled up quantities to provide production scale anodes for the battery industry are described.
    Type: Grant
    Filed: July 14, 2017
    Date of Patent: August 15, 2023
    Assignee: OneD Material, Inc.
    Inventors: Yimin Zhu, Vincent Pluvinage
  • Patent number: 11728135
    Abstract: This disclosure relates to a plasma processing system for controlling plasma density near the edge or perimeter of a substrate that is being processed. The plasma processing system may include a plasma chamber that can receive and process the substrate using plasma for etching the substrate, doping the substrate, or depositing a film on the substrate. This disclosure relates to a plasma processing system for controlling plasma density near the edge or perimeter of a substrate that is being processed. In one embodiment, the plasma density may be controlled by reducing the rate of loss of ions to the chamber wall during processing. This may include biasing a dual electrode ring assembly in the plasma chamber to alter the potential difference between the chamber wall region and the bulk plasma region.
    Type: Grant
    Filed: November 4, 2015
    Date of Patent: August 15, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Jianping Zhao, Lee Chen, Merritt Funk, Zhiying Chen
  • Patent number: 11728198
    Abstract: An electrostatic chuck according to an embodiment includes a fixing plate on which a wafer is fixed, an electrostatic plate located under the fixing plate and configured to generate an electrostatic force to fix the wafer on the fixing plate, a plurality of heating elements located under the electrostatic plate and separated to locally control a temperature of the electrostatic plate, and a cooling plate located under the plurality of separated heating elements and configured to emit heat transferred by the plurality of separated heating elements.
    Type: Grant
    Filed: June 5, 2019
    Date of Patent: August 15, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Myoung-Soo Park, Siqing Lu, Michio Ishikawa, Masashi Kikuchi
  • Patent number: 11710619
    Abstract: A vacuum processing apparatus that can excellently perform uniform processing and can efficiently perform regular maintenance and occasional maintenance even in the case where the diameter of a workpiece is increased. A vacuum processing apparatus having a vacuum transport chamber includes: a lower container in a cylindrical shape; a sample stage unit including a sample stage and a ring-shaped sample stage base having a support beam disposed in axial symmetry with respect to the center axis of the sample stage; an upper container in a cylindrical shape; and a moving unit that is fixed to the sample stage base and moves the sample stage unit in the vertical direction and in the horizontal direction.
    Type: Grant
    Filed: August 26, 2014
    Date of Patent: July 25, 2023
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Kohei Sato, Akitaka Makino, Kazuumi Tanaka, Yusaku Sakka