Patents Examined by Walter H Swanson
  • Patent number: 11264282
    Abstract: Processes to form differently-pitched gate structures are provided. An example method includes providing a workpiece having a substrate and semiconductor fins spaced apart from one another by an isolation feature, depositing a gate material layer over the workpiece, forming a patterned hard mask over the gate material layer, the patterned hard mask including differently-pitched elongated features, performing a first etch process using the patterned hard mask as an etch mask through the gate material layer to form a trench, performing a second etch process using the patterned hard mask as an etch mask to extend the trench to a top surface of the isolation feature, and performing a third etch process using the patterned hard mask to extend the trench into the isolation feature. The first etch process includes use of carbon tetrafluoride and is free of use of oxygen gas.
    Type: Grant
    Filed: February 25, 2020
    Date of Patent: March 1, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chi-Sheng Lai, Wei-Chung Sun, Li-Ting Chen, Kuei-Yu Kao, Chih-Han Lin
  • Patent number: 11264321
    Abstract: A semiconductor device includes providing a workpiece including an insulating material layer disposed thereon. The insulating material layer includes a trench formed therein. A barrier layer on the sidewalls of the trench is formed using a surface modification process and a surface treatment process.
    Type: Grant
    Filed: November 1, 2019
    Date of Patent: March 1, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ying-Hsueh Chang Chien, Yu-Ming Lee, Man-Kit Leung, Chi-Ming Yang
  • Patent number: 11257743
    Abstract: Guard ring designs enabling in-line testing of silicon bridges for semiconductor packages, and the resulting silicon bridges and semiconductor packages, are described. In an example, a semiconductor structure includes a substrate having an insulating layer disposed thereon. A metallization structure is disposed on the insulating layer. The metallization structure includes conductive routing disposed in a dielectric material stack. The semiconductor structure also includes a first metal guard ring disposed in the dielectric material stack and surrounding the conductive routing. The first metal guard ring includes a plurality of individual guard ring segments. The semiconductor structure also includes a second metal guard ring disposed in the dielectric material stack and surrounding the first metal guard ring. Electrical testing features are disposed in the dielectric material stack, between the first metal guard ring and the second metal guard ring.
    Type: Grant
    Filed: August 15, 2019
    Date of Patent: February 22, 2022
    Assignee: Intel Corporation
    Inventors: Arnab Sarkar, Sujit Sharan, Dae-Woo Kim
  • Patent number: 11251267
    Abstract: A pair of vertical fin field effect transistors (FinFETs) having different gate lengths, includes, a first bottom source/drain on a first region of a substrate, wherein the first bottom source/drain includes a first tier having a first height adjacent to a first vertical fin and a second tier having a second height greater than the first tier removed from the first vertical fin; and a second bottom source/drain on a second region of the substrate, wherein the second bottom source/drain includes a third tier having a third height adjacent to a second vertical fin and a fourth tier having a fourth height greater than the third tier removed from the second vertical fin, wherein the third height is less than the first height and the fourth height is equal to the second height.
    Type: Grant
    Filed: November 14, 2019
    Date of Patent: February 15, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Zhenxing Bi, Kangguo Cheng, Peng Xu, Zheng Xu
  • Patent number: 11245101
    Abstract: A manufacturing method of an OLED display panel is provided. At least one of a electroluminescent material layer and a second electrode layer is formed such that a thickness of the second electrode layer in a light emitting region of the OLED display panel is less than that of the second electrode layer in a non-light emitting region of the OLED display panel. The method includes applying charges of a first conductivity type to a layer structure located below a to-be-formed layer and in the light emitting region, applying charges of the first conductivity type to a material of the to-be-formed layer, and applying the material of the to-be-formed layer having the charges of the first conductivity type to the layer structure to form the to-be-formed layer. An OLED display panel manufactured by the above method and an electronic device are further provided.
    Type: Grant
    Filed: June 24, 2019
    Date of Patent: February 8, 2022
    Assignees: Hefei Xinsheng Optoelectronics Technology Co., Ltd., BOE Technology Group Co., Ltd.
    Inventors: Shi Sun, Xuewu Xie, Hao Liu, Bowen Liu, Yu Ai, Yubao Kong, Ameng Zhang, Yang Xu
  • Patent number: 11239243
    Abstract: A method of manufacturing a semiconductor device for preventing row hammering issue in DRAM cell, including the steps of providing a substrate, forming a trench in the substrate, forming a gate dielectric conformally on the trench, forming an n-type work function metal layer conformally on the substrate and the gate dielectric, forming a titanium nitride layer conformally on the n-type work function metal layer, and filling a buried word line in the trench.
    Type: Grant
    Filed: May 5, 2020
    Date of Patent: February 1, 2022
    Assignees: UNITED MICROELECTRONICS CORP., Fujian Jinhua Integrated Circuit Co., Ltd.
    Inventors: Chih-Chieh Tsai, Pin-Hong Chen, Tzu-Chieh Chen, Tsun-Min Cheng, Yi-Wei Chen, Hsin-Fu Huang, Chi-Mao Hsu, Shih-Fang Tzou
  • Patent number: 11227798
    Abstract: Disclosed are electronic device assemblies, computing devices, and related methods. An electronic device assembly or a computing device includes an interlayer dielectric region between a first region and a second region, a conductive interlayer structure formed through the interlayer dielectric region, and a barrier region formed around the conductive interlayer structure. The conductive interlayer structure includes a composition of Ml-Alm—X1n—X2p—Cq—Or, wherein M comprises a metal selected from one or more of titanium, zirconium, hafnium, tantalum, niobium and vanadium; C comprises carbon; O comprises oxygen; X1 comprises gallium; X2 comprises indium; and l, m, n, p, q and r represent an atomic percent of an element in the barrier region that can be 0 percent, but n and p cannot both be 0 percent. A method includes forming the barrier region within a passage through the interlayer dielectric region.
    Type: Grant
    Filed: September 29, 2016
    Date of Patent: January 18, 2022
    Assignee: Intel Corporation
    Inventors: Scott B. Clendenning, Florian Gstrein
  • Patent number: 11222916
    Abstract: An imaging device includes a first chip. The first chip includes a first pixel and a second pixel. The first pixel includes a first anode region and a first cathode region, and the second pixel includes a second anode region and a second cathode region. The first chip includes a first wiring layer. The first wiring layer includes a first anode electrode, a first anode via coupled to the first anode electrode and the first anode region, and a second anode via coupled to the first anode electrode and the second anode region.
    Type: Grant
    Filed: February 27, 2020
    Date of Patent: January 11, 2022
    Assignee: Sony Semiconductor Solutions Corporation
    Inventors: Kenji Kobayashi, Toshifumi Wakano, Yusuke Otake
  • Patent number: 11222946
    Abstract: Methods of forming a 3-dimensional metal-insulator-metal super high density (3D-MIM-SHD) capacitor and semiconductor device are disclosed herein. A method includes depositing a base layer of a first dielectric material over a semiconductor substrate and etching a series of recesses in the base layer. Once the series of recesses have been etched into the base layer, a series of conductive layers and dielectric layers may be deposited within the series of recesses to form a three dimensional corrugated stack of conductive layers separated by the dielectric layers. A first contact plug may be formed through a middle conductive layer of the corrugated stack and a second contact plug may be formed through a top conductive layer and a bottom conductive layer of the corrugated stack. The contact plugs electrically couple the conductive layers to one or more active devices of the semiconductor substrate.
    Type: Grant
    Filed: May 1, 2019
    Date of Patent: January 11, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jin-Mu Yin, Hung-Chao Kao, Dian-Hau Chen, Hui-Chi Chen, Hsiang-Ku Shen, Yen-Ming Chen
  • Patent number: 11217592
    Abstract: A method for fabricating a semiconductor device includes: forming a mold stack pattern including a plurality of openings in an upper portion of a substrate and including a mold layer and a supporter layer which are stacked; forming a bottom electrode layer filling the plurality of the openings and covering the supporter layer; forming a filler portion disposed inside the plurality of the openings, a barrier portion extended upwardly from the filler portion, and an electrode cutting portion exposing a surface of the supporter layer by selectively etching the bottom electrode layer; forming a supporter by using the barrier portion as an etch barrier and etching the supporter layer exposed by the electrode cutting portion; selectively removing the barrier portion to form a hybrid pillar-type bottom electrode disposed inside the plurality of the openings; and removing the mold layer.
    Type: Grant
    Filed: January 16, 2020
    Date of Patent: January 4, 2022
    Assignee: SK hynix Inc.
    Inventors: Jeong-Yeop Lee, Dong-Su Park, Jong-Bum Park, Sang-Do Lee, Jae-Min Lee, Kee-Jeung Lee, Jun-Soo Jang
  • Patent number: 11217522
    Abstract: In described examples, a packaged semiconductor device includes a frame, a pre-fabricated interposer, and an integrated circuit die. The frame includes multiple conductive frame leads and multiple conductive connection points, as well as a hole in the frame surrounded by the frame leads and the conductive connection points. The pre-molded interposer has an external perimeter including multiple conductive interposer leads, and is for insertion into the hole. At least one of the interposer leads does not extend to the external perimeter of the interposer. The die is electrically coupled to selected ones of the frame leads and of the interposer leads. The interposer is inserted into the hole and coupled to the frame, and the frame, interposer, and die are together encapsulated by encapsulation material.
    Type: Grant
    Filed: June 13, 2019
    Date of Patent: January 4, 2022
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Makoto Shibuya
  • Patent number: 11205617
    Abstract: An interconnect structure includes a substrate, a dielectric layer on the substrate, a metal interconnect layer in the dielectric layer and in contact with the substrate, the metal interconnect layer having an upper surface flush with an upper surface of the dielectric layer, and a graphene layer on the metal interconnect layer. The graphene layer insulates a metal from air and prevents the metal from being oxidized by oxygen in the air, thereby increasing the queue time for the CMP process and the device reliability.
    Type: Grant
    Filed: February 9, 2018
    Date of Patent: December 21, 2021
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Ming Zhou
  • Patent number: 11201056
    Abstract: Methods and structures for pitch multiplication include forming a plurality of mandrel lines and non-mandrel lines on a target layer, wherein the non-mandrel lines include a protective spacer material about a top sidewall portion and a first spacer material about a lower sidewall portion, wherein the protective spacer material has a different etch selectivity than the first spacer material. The plurality of mandrel lines and non-mandrel lines are transferred into the target layer.
    Type: Grant
    Filed: March 18, 2020
    Date of Patent: December 14, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Chanro Park, Koichi Motoyama, Kenneth Chun Kuen Cheng, Chih-Chao Yang
  • Patent number: 11201270
    Abstract: Illumination devices based on quantum dot technology and methods of making such devices are described. An illumination device includes a substrate having a plurality of microLEDs, a beam splitter, and a film having a plurality of quantum dots. The beam splitter includes a plurality of layers and is disposed between the substrate and the film having the plurality of quantum dots.
    Type: Grant
    Filed: January 27, 2020
    Date of Patent: December 14, 2021
    Assignee: Nanosys, Inc.
    Inventor: Ernest C. Lee
  • Patent number: 11183443
    Abstract: A semiconductor structure and a method for manufacturing the same are disclosed. The semiconductor structure includes an underlying semiconductor layer, an insulation layer, a first through semiconductor via, a second through semiconductor via, and an upper conductive connecting portion. The insulation layer is disposed over the underlying semiconductor layer. The first through semiconductor via extends continuously through the insulation layer. The first through semiconductor via has a first upper end above the insulation layer. The second through semiconductor via extends continuously through the insulation layer. The second through semiconductor via has a second upper end above the insulation layer. The upper conductive connecting portion is laterally connected to a first upper lateral surface of the first upper end and a second upper lateral surface of the second upper end.
    Type: Grant
    Filed: June 13, 2019
    Date of Patent: November 23, 2021
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventor: Liang-Pin Chou
  • Patent number: 11177349
    Abstract: A method and resulting structures for a semiconductor device includes forming a source terminal of a semiconductor fin on a substrate. An energy barrier is formed on a surface of the source terminal. A channel is formed on a surface of the energy barrier, and a drain terminal is formed on a surface of the channel. The drain terminal and the channel are recessed on either sides of the channel, and the energy barrier is etched in recesses formed by the recessing. The source terminal is recessed using timed etching to remove a portion of the source terminal in the recesses formed by etching the energy barrier. A first bottom spacer is formed on a surface of the source terminal and a sidewall of the semiconductor fin, and a gate stack is formed on the surface of the first bottom spacer.
    Type: Grant
    Filed: January 20, 2020
    Date of Patent: November 16, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Yulong Li, Paul M. Solomon, Siyuranga Koswatta
  • Patent number: 11164846
    Abstract: A semiconductor device manufacturing method includes: applying solder to an arrangement area of a substrate, the substrate having a connection area to which a wiring member is to be directly connected, the connection area neighboring the arrangement area; arranging a component on the arrangement area via the solder; and soldering the component to the arrangement area by heating the solder while covering the connection area. A soldering support jig includes a columnar covering member having a covering surface at a bottom of the columnar covering member.
    Type: Grant
    Filed: December 23, 2019
    Date of Patent: November 2, 2021
    Assignee: FUJI ELECTRIC CO., LTD.
    Inventors: Rikihiro Maruyama, Kenshi Kai, Kazuya Adachi
  • Patent number: 11152279
    Abstract: A Monolithic Integrated Circuit (MMIC) cooling structure having a heat spreader thermally comprising a anisotropic material, such material having anisotropic heat conducting properties for conducing heat therethrough along a preferred plane, a surface of the MMIC being thermally coupled to the heat spreader, the preferred plane intersecting the surface of the MMIC; and, a thermally conductive base having a side portion thermally coupled to the heat spreader, the side portion being disposed in a plane intersecting the preferred plane.
    Type: Grant
    Filed: March 26, 2018
    Date of Patent: October 19, 2021
    Assignee: RAYTHEON COMPANY
    Inventors: Susan C. Trulli, Anurag Gupta
  • Patent number: 11145780
    Abstract: A semiconductor substrate doped with a first doping type is positioned adjacent an insulated gate electrode that is biased by a gate voltage. A first region within the semiconductor substrate is doped with the first doping type and biased with a bias voltage. A second region within the semiconductor substrate is doped with a second doping type that is opposite the first doping type. Voltage application produces an electrostatic field within the semiconductor substrate causing the formation of a fully depleted region within the semiconductor substrate. The fully depleted region responds to absorption of a photon with an avalanche multiplication that produces charges that are collected at the first and second regions.
    Type: Grant
    Filed: February 12, 2020
    Date of Patent: October 12, 2021
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventor: Francois Roy
  • Patent number: 11133394
    Abstract: A method for manufacturing a semiconductor device includes forming a semiconductor strip over a substrate. The semiconductor strip includes a first semiconductor stack and a second semiconductor stack over the first semiconductor stack. A dummy gate stack is formed to cross the semiconductor strip. The dummy gate stack is replaced with a first metal gate stack and a second metal gate stack. The first metal gate stack is in contact with the first semiconductor layer of the first semiconductor stack and the second metal gate stack is in contact with the first semiconductor layer of the second semiconductor stack.
    Type: Grant
    Filed: April 3, 2019
    Date of Patent: September 28, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wei-Hao Wu, Zhi-Chang Lin, Ting-Hung Hsu, Kuan-Lun Cheng