Patents by Inventor Andrew F. Glew

Andrew F. Glew has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20030196065
    Abstract: Method for producing a predetermined length page memory pointer record, according to a selected page size and a selected page address, the method including the procedures of: determining a dynamic location of a separator bit within the page memory pointer record, according to the selected page size and an initial page size, the initial page size being respective of the smallest page size in a given memory system, writing a predetermined value to the dynamic location, writing a sequence of values opposite to the predetermined value to selected page size bits of the page memory pointer record, when the selected page size is different than the initial page size, and writing the selected page address to selected page address bits of the page memory pointer record.
    Type: Application
    Filed: May 19, 2003
    Publication date: October 16, 2003
    Inventors: Ronny Ronen, Andrew F. Glew, Maury J. Bach, Robert C. Valentine, Richard A. Uhlig, Opher D. Kahn
  • Publication number: 20030126442
    Abstract: An authenticated code module comprises a value that attests to the authenticity of the module. The value is encrypted with a key corresponding to a key of a computing device that is to execute the module.
    Type: Application
    Filed: December 31, 2001
    Publication date: July 3, 2003
    Inventors: Andrew F. Glew, James A. Sutton, Lawrence O. Smith, David W. Grawrock, Gilbert Neiger, Michael A. Kozuch
  • Publication number: 20030126454
    Abstract: Apparatus and method load, authenticate, and/or execute authenticated code modules stored in a private memory.
    Type: Application
    Filed: December 28, 2001
    Publication date: July 3, 2003
    Inventors: Andrew F. Glew, James A. Sutton, Lawrence O. Smith, David W. Grawrock, Gilbert Neiger, Michael A. Kozuch
  • Publication number: 20030126453
    Abstract: A processor loads, authenticates, and/or initiates execution of authenticated code modules in response to executing launch authenticated code instructions.
    Type: Application
    Filed: December 31, 2001
    Publication date: July 3, 2003
    Inventors: Andrew F. Glew, James A. Sutton, Lawrence O. Smith, David W. Grawrock, Gilbert Neiger, Michael A. Kozuch
  • Patent number: 6378062
    Abstract: The present invention provides for executing store instructions with a processor. The present invention executes each of the store instructions by producing the data that is to be stored and by calculating the destination address to which the data is to be stored. In the present invention, the store instructions are executed to produce the destination address of the store instruction earlier than the prior art.
    Type: Grant
    Filed: March 28, 1997
    Date of Patent: April 23, 2002
    Assignee: Intel Corporation
    Inventors: Jeffery M. Abramson, Haitham Akkary, Andrew F. Glew, Glenn J. Hinton, Kris G. Konigsfeld, Paul D. Madland
  • Patent number: 6297843
    Abstract: A system providing video compression/encoding functions incorporates an integrated video apparatus which includes a camera for capturing an image, a frame grabber coupled to the camera for storing a bitmap of the image, and a video processor coupled to the frame grabber. The video processor is coupled to the system bus and to a dedicated memory and executes an algorithm which compresses the bitmap into an encoded bitstream. A central processing unit manages the transfer of the encoded bitstream from the video processor to the network utilizing a main memory coupled to the system bus.
    Type: Grant
    Filed: September 30, 1993
    Date of Patent: October 2, 2001
    Assignee: Intel Corporation
    Inventor: Andrew F. Glew
  • Patent number: 6170997
    Abstract: A method for executing different sets of instructions that cause a processor to perform different data type operations in a manner that is invisible to various operating system techniques, that promotes good programming practices, and that is invisible to existing software conventions. According to one aspect of the invention, a data processing apparatus executes a first set of instructions of a first instruction type on what at least logically appears to software as a single logical register file. While the data processing apparatus is executing the first set of instructions, the single logical register file appears to be operated as a flat register file. In addition, the data processing apparatus executes a first instruction of a second instruction type using the logical register file. However, while the data processing apparatus is executing the first instruction, the logical register file appears to be operated as a stack referenced register file.
    Type: Grant
    Filed: July 22, 1997
    Date of Patent: January 9, 2001
    Assignee: Intel Corporation
    Inventors: Andrew F. Glew, Larry M. Mennemeier, Alexander D. Peleg, David Bistry, Millind Mittal, Carole Dulong, Eiichi Kowashi, Benny Eitan, Derrik Lin, Romamohan R. Vakkalagadda
  • Patent number: 6079014
    Abstract: A processor is disclosed comprising a front end circuit that fetches a series of instructions according to a program sequence determined by at least one branch prediction, a register renaming circuit that allocates execution resources to each instruction, and an execution circuit that executes each instruction in the instruction stream. The execution circuit causes the front end circuit to refetch the series of instructions if a branch misprediction is detected. A stall signal disables the register renaming circuit until the execution circuit commits the branch result to an architectural state according to the program sequence.
    Type: Grant
    Filed: September 2, 1997
    Date of Patent: June 20, 2000
    Assignee: Intel Corporation
    Inventors: David B. Papworth, Michael A. Fetterman, Andrew F. Glew, Robert P. Colwell, Glenn J. Hinton
  • Patent number: 6047369
    Abstract: A mechanism and method for renaming flags within a register alias table ("RAT") to increase processor parallelism and also providing and using flag masks associated with individual instructions. In order to reduce the amount of data dependencies between instructions that are concurrently processed, the flags used by these instructions are renamed. In general, a RAT unit provides register renaming to provide a larger physical register set than would ordinarily be available within a given macroarchitecture's logical register set (such as the Intel architecture or PowerPC or Alpha designs, for instance) to eliminate false data dependencies between instructions that reduce overall superscalar processing performance for the microprocessor. The renamed flag registers contain several flag bits and various flag bits may be updated or read by different instructions.
    Type: Grant
    Filed: February 28, 1994
    Date of Patent: April 4, 2000
    Assignee: Intel Corporation
    Inventors: Robert P. Colwell, Andrew F. Glew, Atiq A. Bajwa, Glenn J. Hinton, Michael A. Fetterman
  • Patent number: 6035393
    Abstract: A computer system includes an instruction prefetching mechanism that detects whether an instruction to be prefetched is located in a region of memory that is uncacheable. To perform an instruction prefetch, an instruction fetch unit (IFU) receives an instruction pointer indicating a memory location containing an instruction to be prefetched. The instruction pointer may be provided by a branch target buffer (BTB) as a result of a branch prediction, or by auxiliary branch prediction mechanisms, or actual execution. The IFU accesses an instruction translation look-aside buffer (ITLB) to determine both the physical address corresponding to the linear address of the instruction pointer and to determine an associated memory type stored therein. If the memory type indicates an uncacheable memory location, the IFU waits until all previous executed instructions have completed. The IFU does this by inserting a "permission-to-fetch" instruction, and then stalling.
    Type: Grant
    Filed: May 7, 1998
    Date of Patent: March 7, 2000
    Assignee: Intel Corporation
    Inventors: Andrew F. Glew, Ashwani Gupta
  • Patent number: 5987600
    Abstract: A method and circuitry for coordinating exceptions in a processor. The processor generates a result data value and an exception data value for each instruction wherein the exception data value specifies whether the corresponding instruction causes an exception. The processor commits the result data values to an architectural state of the processor in the sequential program order, and fetches an exception handler to processes the exception if the exception is indicated by one of the exception data values. The processor fetches an asynchronous event handler to processes an asynchronous event if the asynchronous event is detected while the result data values are committed to the architectural state of the processor.
    Type: Grant
    Filed: May 5, 1997
    Date of Patent: November 16, 1999
    Assignee: INTEL Corporation
    Inventors: David B. Papworth, Glenn J. Hinton, Michael A. Fetterman, Robert P. Colwell, Andrew F. Glew
  • Patent number: 5978737
    Abstract: A system for detecting hazardous conditions during operation of a vehicle. In one embodiment, the system includes a plurality of sensors that monitor a plurality of conditions and transmit condition signals each representing a measure of a condition. A plurality of rate determination circuits is coupled to the sensors and continually receives the condition signals, wherein each rate determination circuit calculates rates of change for the condition, including a baseline rate of change, and outputs a potential hazard value representing a deviation of a rate of change from the baseline rate that exceeds a predetermined threshold value. An evaluation circuit receives the potential hazard value, calculates a new potential hazard value using the potential hazard value and a rate of change for at least one associated condition and determines whether an actual hazard exists by comparing the new potential hazard value with a stored value that corresponds to the condition.
    Type: Grant
    Filed: October 16, 1997
    Date of Patent: November 2, 1999
    Assignee: Intel Corporation
    Inventors: Stephen S. Pawlowski, Andrew F. Glew, George R. Hayek, Harshvardhan P. Sharangpani, Richard C. Calderwood
  • Patent number: 5974523
    Abstract: A mechanism for efficiently overlapping multiple operand types is used in a microprocessor which includes a plurality of execution units and a mechanism to provide operations, which include one or more operands, to the plurality of execution units. Each of the plurality of execution units interprets the one or more operands as different types of operands, and the mechanism to provide operations overlaps the different types of operands.
    Type: Grant
    Filed: September 6, 1996
    Date of Patent: October 26, 1999
    Assignee: Intel Corporation
    Inventors: Andrew F. Glew, Darrell D. Boggs, Michael A. Fetterman, Glenn J. Hinton, Robert P. Colwell, David B. Papworth
  • Patent number: 5956753
    Abstract: The method and apparatus are employed within a microprocessor capable of generating speculative memory accesses instructions. Certain instructions access memory locations containing speculatable information while others access memory locations containing non-speculatable information. Memory-type values indicating the speculatability or non-speculatability of memory locations are stored within a translation lookaside buffer. Prior to executing a speculative memory instruction, the microprocessor accesses the translation lookaside buffer to determine whether the memory location targeted by a memory instruction contains speculatable or non-speculatable information. Then, depending upon the memory-type value found in the translation lookaside buffer, execution of the speculative memory instruction is performed immediately or is deferred until the instruction is no longer speculative.
    Type: Grant
    Filed: August 19, 1997
    Date of Patent: September 21, 1999
    Assignee: Intel Corporation
    Inventors: Andrew F. Glew, Haitham Akkary
  • Patent number: 5951670
    Abstract: A processor for executing a plurality of instructions. The processor comprises a plurality of logical segment registers, wherein the logical segment registers define an architectural state for memory segmentation of the processor. A plurality of physical segment registers are coupled to the logical segment registers. The processor further comprises an issue cluster that issues the instructions and that maps the logical segment registers, specified by the operations, to the physical segment registers to provide segment register renaming in the processor.
    Type: Grant
    Filed: September 4, 1997
    Date of Patent: September 14, 1999
    Assignee: Intel Corporation
    Inventors: Andrew F. Glew, Michael A. Fetterman
  • Patent number: 5935240
    Abstract: A method for transferring packed data including the steps of first receiving an instruction from a set of instructions for transferring packed data between an extended register file and either an integer register file or a memory. In one embodiment, the extended register file includes eight registers, with each of the extended register storing up to sixty-four data bits. The integer register file also includes eight registers. The instruction includes an opcode that specifies a direction of the transfer with respect to the extended register file. The instructions are encoded in an instruction format having up to three bits addressing a destination operand and up to three bits addressing a source operand. The instruction is then translated to determine a direction of the transfer, a size of said packed data to be transferred, the address of the destination operand, and the address of the source operand.
    Type: Grant
    Filed: December 15, 1995
    Date of Patent: August 10, 1999
    Assignee: Intel Corporation
    Inventors: Larry M. Mennemeier, Alexander D. Peleg, Andrew F. Glew, Carole Dulong, Eiichi Kowashi, Millind Mittal, Wolf Witt, Benny Eitan
  • Patent number: 5913050
    Abstract: This invention overcomes the address size backward compatibility problem by first subtracting the segment base address from the linear destination address of a branch instruction to generate a virtual destination address. It is assumed that the branch instruction destination address is n bits long with m most significant bits. It is desired to provide backward compatibility in the n-bit processor for branch instruction code written for processors utilizing instruction address fields of size (n-m) bits. After obtaining the virtual address, if any of the m most significant bits are non-zero, then those m bits are set to zero to thereby generate a corrected virtual address. If such a compatibility correction is necessary, then a clear signal is asserted to flush all state of the processor that resulted from instructions being fetched after the branch instruction was fetched. The corrected virtual address is added back to the segment base address to generate a corrected linear address.
    Type: Grant
    Filed: October 22, 1996
    Date of Patent: June 15, 1999
    Assignee: Intel Corporation
    Inventors: Darrell D. Boggs, Robert P. Colwell, Michael A. Fetterman, Andrew F. Glew, Glenn J. Hinton, David B. Papworth
  • Patent number: 5909696
    Abstract: A novel method and apparatus to cache System Management Mode (SMM) data with other data to improve performance and reduce latency of SMM handler routines. This method and apparatus allows SMM data and non-SMM data to be distinguished in the cache without requiring extra cache bits which can add to the cost of implementation. Since SMM data and non-SMM data can coexist in the cache, there is no need for time consuming cache flush cycles when switching between the two modes. Since SMM data can be cached, performance of SMM routines are improved. This method and apparatus defines the SMRAM address range to be a range of addresses representable by the tag, but not directly corresponding to installed main memory. When accesses are made to SMRAM addresses, they are redirected to an unused portion of main memory. Protection mechanisms may be implemented to limit access to these SMRAM addresses when not in SMM.
    Type: Grant
    Filed: June 4, 1996
    Date of Patent: June 1, 1999
    Assignee: Intel Corporation
    Inventors: Dennis Reinhardt, James P. Kardach, John W. Horigan, Neil Songer, Andrew F. Glew
  • Patent number: 5881223
    Abstract: A dynamically configurable arrangement for determining performance of a microprocessor. A plurality of functional units in a microprocessor are coupled to a performance counter, wherein the performance counter is incremented in response to occurrence of a predetermined event. A plurality of repeaters coupled between the plurality of functional units and the performance counter. Control circuitry coupled to the plurality of repeaters, wherein the control circuitry selectively enables the repeaters such that only one functional unit is coupled to the performance counter at a particular time.
    Type: Grant
    Filed: September 6, 1996
    Date of Patent: March 9, 1999
    Assignee: Intel Corporation
    Inventors: Sumeet Agrawal, Andrew F. Glew, Patrick G. Franklin, Reed Spotten
  • Patent number: 5881262
    Abstract: A method and apparatus for performing load operations in a computer system. The present invention includes a method and apparatus for dispatching the load operation to be executed. The present invention halts the execution of the load operation when a dependency exists between the load operation and another memory operation currently pending in the system. When the dependency no longer exists, the present invention redispatches the load operation so that it completes.
    Type: Grant
    Filed: September 12, 1997
    Date of Patent: March 9, 1999
    Assignee: Intel Corporation
    Inventors: Jeffery M. Abramson, Haitham Akkary, Andrew F. Glew, Glenn J. Hinton, Kris G. Konigsfeld, Paul D. Madland