Patents by Inventor Hui Zang

Hui Zang has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 10636890
    Abstract: The present disclosure relates to semiconductor structures and, more particularly, to chamfered replacement gate structures and methods of manufacture. The structure includes: a recessed gate dielectric material in a trench structure; a plurality of recessed workfunction materials within the trench structure on the recessed gate dielectric material; a plurality of additional workfunction materials within the trench structure and located above the recessed gate dielectric material and the plurality of recessed workfunction materials; a gate metal within the trench structure and over the plurality of additional workfunction materials, the gate metal and the plurality of additional workfunction materials having a planar surface below a top surface of the trench structure; and a capping material over the gate metal and the plurality of additional workfunction materials.
    Type: Grant
    Filed: May 8, 2018
    Date of Patent: April 28, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Haiting Wang, Rongtao Lu, Chih-Chiang Chang, Guowei Xu, Hui Zang, Scott Beasor, Ruilong Xie
  • Patent number: 10636893
    Abstract: The present disclosure relates to semiconductor structures and, more particularly, to replacement metal gate structures with reduced shorting and uniform chamfering, and methods of manufacture. The structure includes: a long channel device composed of a conductive gate material with a capping layer over the conductive gate material and extending to sides of the conductive gate material; and a short channel device composed of the conductive gate material and the capping layer over the conductive gate material.
    Type: Grant
    Filed: August 22, 2018
    Date of Patent: April 28, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Hui Zang, Guowei Xu
  • Publication number: 20200127109
    Abstract: The disclosure provides an integrated circuit (IC) structure including a first spacer on a semiconductor fin adjacent a first portion of the gate structure, and having a first height above the semiconductor fin; a second spacer on the semiconductor fin adjacent the first spacer, such that the first spacer is horizontally between the first portion of the gate structure and a lower portion of the outer; and a gate cap positioned over the first portion of the gate structure and on the second spacer above the semiconductor fin. The gate cap defines an air gap horizontally between the first portion of the gate structure and an upper portion of the second spacer, and vertically between an upper surface of the first spacer and a lower surface of the gate cap.
    Type: Application
    Filed: October 19, 2018
    Publication date: April 23, 2020
    Inventors: Haiting Wang, Guowei Xu, Hui Zang
  • Publication number: 20200126863
    Abstract: One illustrative device disclosed includes a gate structure and a sidewall spacer positioned adjacent the gate structure, the sidewall spacer having an upper surface, wherein an upper portion of the gate structure is positioned above a level of the upper surface of the sidewall spacer. In this illustrative example, the device also includes a tapered upper surface on the upper portion of the gate structure and a gate cap, the gate cap being positioned above the tapered upper surface of the gate structure and above the upper surface of the sidewall spacer.
    Type: Application
    Filed: October 30, 2019
    Publication date: April 23, 2020
    Inventors: Hui Zang, Scott Beasor, Haiting Wang
  • Patent number: 10629701
    Abstract: One illustrative method disclosed herein includes forming a sacrificial gate structure and a gate-cut structure within the sacrificial gate structure at a location positioned above the isolation material, the gate-cut structure having an upper portion and a lower portion, and forming a replacement gate cavity by removing the sacrificial gate structure and the lower portion of the gate-cut structure. The method further includes forming a final gate structure that includes forming a gate insulation layer of the final gate structure on all exposed surfaces of the upper portion of the gate-cut structure, removing the upper portion of the gate-cut structure, removing the exposed portion of the final gate structure to define a gate-cut opening that separates the final gate structure into the first and second final gate structures, and forming a gate separation structure in the gate-cut opening.
    Type: Grant
    Filed: October 10, 2018
    Date of Patent: April 21, 2020
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ruilong Xie, Youngtag Woo, Hui Zang
  • Patent number: 10629694
    Abstract: Methods of forming cross-coupling contacts for field-effect transistors and structures for field effect-transistors that include cross-coupling contacts. A sidewall spacer is formed adjacent to a gate structure, a dielectric cap is formed over the gate structure and the sidewall spacer, and an epitaxial semiconductor layer is formed adjacent to the sidewall spacer. A first portion of the dielectric cap is removed from over the sidewall spacer and the gate structure to expose a portion of a top surface of a gate electrode of the gate structure. A portion of the sidewall spacer is modified with an amorphization process. The modified portion of the sidewall spacer and the underlying gate dielectric layer are removed to expose a portion of a sidewall of the gate electrode. A cross-coupling contact is formed that directly connects the portions of the sidewall and top surface of the gate electrode with the epitaxial semiconductor layer.
    Type: Grant
    Filed: November 30, 2018
    Date of Patent: April 21, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Hui Zang, Ruilong Xie, Haiting Wang, Scott Beasor
  • Patent number: 10629532
    Abstract: One aspect of the disclosure relates to an integrated circuit structure. The integrated circuit structure may include: a gate stack having a gate conductor therein over a substrate, the gate stack being within a dielectric layer; a source/drain contact to a source/drain region over the substrate and adjacent to the gate stack within the dielectric layer; an upper conductor extending above, without contacting, the source/drain contact, wherein the upper conductor extends within the dielectric layer to contact the gate conductor within the gate stack.
    Type: Grant
    Filed: September 5, 2018
    Date of Patent: April 21, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Hui Zang, Josef S. Watts
  • Patent number: 10629739
    Abstract: One illustrative method disclosed herein includes forming a low-k sidewall spacer adjacent opposing sidewalls of a gate structure, forming contact etch stop layers (CESLs) adjacent the low-k sidewall spacer in the source/drain regions of the transistor, and forming a first insulating material above the CESLs. In this example, the method also includes recessing the first insulating material so as to expose substantially vertically oriented portions of the CESLs, removing a portion of a lateral width of the substantially vertically oriented portions of the CESLs so as to form trimmed CESLs, and forming a high-k spacer on opposite sides of the gate structure, wherein at least a portion of the high-k spacer is positioned laterally adjacent the trimmed substantially vertically oriented portions of the trimmed CESLs.
    Type: Grant
    Filed: July 18, 2018
    Date of Patent: April 21, 2020
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Hui Zang, Chung Foong Tan, Guowei Xu, Haiting Wang, Yue Zhong, Ruilong Xie, Tek Po Rinus Lee, Scott Beasor
  • Patent number: 10629707
    Abstract: A finFET structure includes an insulative cap over each gate in a vicinity of a first and second self-aligned contact (SAC) to source/drain regions thereof. The insulative cap has a bulbous upper insulative cap portion selectively grown to protect gate height loss during SAC opening formation. The bulbous upper insulative cap portion may be over just gates in the vicinity of the S/D regions, and optionally, over gates in the vicinity of a gate contact.
    Type: Grant
    Filed: May 23, 2018
    Date of Patent: April 21, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Hui Zang, Ruilong Xie, Jiehui Shu
  • Publication number: 20200119000
    Abstract: Processes form integrated circuit apparatuses that include parallel fins, wherein the fins are patterned in a first direction, and parallel gate structures intersect the fins in a second direction perpendicular to the first direction. Also, source/drain structures are positioned on the fins between the gate structures, source/drain contacts are positioned on the source/drain structures, sidewall insulators are positioned between the gate structures and the source/drain contacts (wherein the sidewall insulators have a lower portion adjacent to the fins and an upper portion distal to the fins), and upper sidewall spacers are positioned between the upper portion of the sidewall insulators and the source/drain contacts.
    Type: Application
    Filed: October 16, 2018
    Publication date: April 16, 2020
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Haiting Wang, Hui Zang, Guowei Xu, Scott Beasor
  • Publication number: 20200119163
    Abstract: One illustrative method disclosed herein includes forming a sacrificial gate structure and a gate-cut structure within the sacrificial gate structure at a location positioned above the isolation material, the gate-cut structure having an upper portion and a lower portion, and forming a replacement gate cavity by removing the sacrificial gate structure and the lower portion of the gate-cut structure. The method further includes forming a final gate structure that includes forming a gate insulation layer of the final gate structure on all exposed surfaces of the upper portion of the gate-cut structure, removing the upper portion of the gate-cut structure, removing the exposed portion of the final gate structure to define a gate-cut opening that separates the final gate structure into the first and second final gate structures, and forming a gate separation structure in the gate-cut opening.
    Type: Application
    Filed: October 10, 2018
    Publication date: April 16, 2020
    Inventors: Ruilong Xie, Youngtag Woo, Hui Zang
  • Publication number: 20200119002
    Abstract: The present disclosure relates to integrated circuit (IC) structures and their method of manufacture. More particularly, the present disclosure relates to forming a semiconductor device having generally fork-shaped contacts around epitaxial regions to increase surface contact area and improve device performance. The integrated circuit (IC) structure of the present disclosure comprises a plurality of fins disposed on a semiconductor substrate, at least one epitaxial region laterally disposed on selected fins, and a contact material positioned over and surrounding the epitaxial region.
    Type: Application
    Filed: October 16, 2018
    Publication date: April 16, 2020
    Inventors: RUILONG XIE, WILLIAM TAYLOR, HUI ZANG
  • Publication number: 20200119001
    Abstract: Methods of manufacturing FinFETs including providing a precursor FinFET structure having a substrate with fins thereon, S/D junctions on fin tops, an STI layer on the substrate and between fins, a conformal first dielectric layer on the STI layer and S/D junctions, and a second dielectric layer on the first dielectric layer; forming a conformal third dielectric layer on the second dielectric layer and surfaces of the first dielectric layer located above the second dielectric layer; forming a fourth dielectric layer on the third dielectric layer such that third dielectric layer located between adjacent fins is exposed and such that third dielectric layer located above the adjacent fins is exposed; removing the exposed third dielectric layer and the first dielectric layer located thereunder, thereby exposing the S/D junctions; and forming a metal contact on the exposed S/D junctions and the exposed portion of the third dielectric layer between adjacent fins.
    Type: Application
    Filed: October 16, 2018
    Publication date: April 16, 2020
    Inventors: Jiehui Shu, Hui Zang, Guowei Xu, Jian Gao
  • Patent number: 10622463
    Abstract: At least one method, apparatus and system disclosed herein fin field effect transistor (finFET) comprising a tall fin having a plurality of epitaxial regions. A first fin of a transistor is formed. The first fin comprising a first portion comprising silicon, a second portion comprising silicon germanium and a third portion comprising silicon. A gate structure above the third portion is formed. An etching process is performed for removing the silicon germanium of the second portion that is not below the gate structure. A first epitaxy region is formed above the first portion. A second epitaxy region is formed vertically aligned with the first epitaxy region and above the silicon germanium of the second portion that is below the gate structure.
    Type: Grant
    Filed: September 28, 2018
    Date of Patent: April 14, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Hui Zang, Min-Hwa Chi, Jinping Liu
  • Publication number: 20200111713
    Abstract: Processes form integrated circuit apparatuses that include parallel fins, wherein the fins are patterned in a first direction. Parallel gate structures intersect the fins in a second direction perpendicular to the first direction, wherein the gate structures have a lower portion adjacent to the fins and an upper portion distal to the fins. Source/drain structures are positioned on the fins between the gate structures. Source/drain contacts are positioned on the source/drain structures and multiple insulator layers are positioned between the gate structures and the source/drain contacts. Additional upper sidewall spacers are positioned between the upper portion of the gate structures and the multiple insulator layers.
    Type: Application
    Filed: October 3, 2018
    Publication date: April 9, 2020
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Hui Zang, Laertis Economikos, Shesh Mani Pandey, Chanro Park, Ruilong Xie
  • Publication number: 20200105584
    Abstract: The present disclosure relates to semiconductor structures and, more particularly, to a single diffusion break device and methods of manufacture. The structure includes a single diffusion break structure with a fill material between sidewall spacers of the single diffusion break structure and a channel oxidation below the fill material.
    Type: Application
    Filed: September 28, 2018
    Publication date: April 2, 2020
    Inventors: Wei HONG, Hui ZANG, Hsien-Ching LO, Zhenyu HU, Liu JIANG
  • Publication number: 20200105597
    Abstract: At least one method, apparatus and system disclosed herein involves forming local interconnect regions during semiconductor device manufacturing. A plurality of fins are formed on a semiconductor substrate. A gate region is over a portion of the fins. A trench silicide (TS) region is formed adjacent a portion of the gate region. The TS region comprises a first TS metal feature and a second TS metal feature. A bi-layer self-aligned contact (SAC) cap is formed over a first portion of the TS region and electrically coupled to a portion of the gate region. A portion of the bi-layer SAC cap is removed to form a first void. A first local interconnect feature is formed in the first void.
    Type: Application
    Filed: October 2, 2018
    Publication date: April 2, 2020
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Ruilong Xie, Andreas Knorr, Haiting Wang, Hui Zang
  • Publication number: 20200105886
    Abstract: Structures for a field-effect transistor and methods for fabricating a structure for a field-effect transistor. First and second device structure are respectively formed in first and second device regions, and a first dielectric layer is formed over the first and second device regions. The first dielectric layer includes a recess defining a step at a transition between the first and second device regions, and a second dielectric layer is arranged within the recess in the first dielectric layer. A third dielectric layer is arranged over the first dielectric layer in the first device region and over the second dielectric layer in the second device region. A contact, which is coupled with the second device structure, extends through the first, second, and third dielectric layers in the second device region.
    Type: Application
    Filed: October 2, 2018
    Publication date: April 2, 2020
    Inventors: Wei Hong, Hui Zang, Hsien-Ching Lo
  • Publication number: 20200105905
    Abstract: A method, apparatus, and manufacturing system are disclosed for a fin field effect transistor having a reduced parasitic capacitance between a gate and a source/drain contact. In one embodiment, we disclose a semiconductor device including first and second fins; an isolation structure between the fins; first and second metal gates; a first dielectric body under the first metal gate and on the substrate between the first fin and the second fin, wherein a top of the first dielectric body is below a top of the first metal gate; and a second dielectric body in the second metal gate and on the substrate between the first fin and the second fin, wherein a top of the second dielectric body is at or above a top of the second metal gate.
    Type: Application
    Filed: September 27, 2018
    Publication date: April 2, 2020
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Hui Zang, Haiting Wang, Ruilong Xie
  • Patent number: 10607893
    Abstract: The present disclosure generally relates to semiconductor structures and, more particularly, to middle of line structures and methods of manufacture. The structure includes: a plurality of gate structures comprising source and drain regions; contacts connecting to the source and drain regions; contacts connecting to the gate structures which are offset from the contacts connecting to the source and drain regions; and interconnect structures in electrical contact with the contacts of the gate structures and the contacts of the source and drain regions.
    Type: Grant
    Filed: February 17, 2018
    Date of Patent: March 31, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Hui Zang, Ruilong Xie