Patents by Inventor Jeffrey Junhao Xu

Jeffrey Junhao Xu has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20180076189
    Abstract: Minimum track standard cell circuits for reduced area are provided. In one aspect, a minimum track standard cell circuit employs a first high aspect ratio voltage rail disposed over a first one-half track and configured to provide a first voltage (e.g., VDD) to the minimum track standard cell circuit. A second high aspect ratio voltage rail is disposed over a second one-half track substantially parallel to the first high aspect ratio voltage rail. The second high aspect ratio voltage rail is configured to provide a second voltage less than the first voltage (e.g., VSS) to the minimum track standard cell circuit. The minimum track standard cell circuit employs multiple tracks disposed between the first and second one-half tracks. The number of tracks can be limited based on particular factors. Minimizing tracks reduces area compared to conventional standard cell circuits.
    Type: Application
    Filed: September 15, 2016
    Publication date: March 15, 2018
    Inventors: Jeffrey Junhao Xu, Mustafa Badaroglu, Da Yang
  • Publication number: 20180033729
    Abstract: Standard cell circuits employing high aspect ratio voltage rails for reduced resistance are disclosed. In one aspect, a standard cell circuit is provided that employs a first high aspect ratio voltage rail configured to receive a first supply voltage. A second high aspect ratio voltage rail is employed that is disposed substantially parallel to the first high aspect ratio voltage rail. A voltage differential between the first and second high aspect ratio voltage rails is used to power a circuit device in the standard cell circuit. The first and second high aspect ratio voltage rails each have a height-to-width ratio greater than 1.0. The height of each respective first and second high aspect ratio voltage rail is greater than each respective width. Employing the first and second high aspect ratio voltage rails allows each to have a cross-sectional area that limits the resistance and corresponding IR drop.
    Type: Application
    Filed: June 27, 2017
    Publication date: February 1, 2018
    Inventors: Jeffrey Junhao Xu, Mustafa Badaroglu, Da Yang, Periannan Chidambaram
  • Publication number: 20180026119
    Abstract: A FinFET includes a semiconductor fin including an inner region, and a germanium-doped layer on a top surface and sidewall surfaces of the inner region. The germanium-doped layer has a higher germanium concentration than the inner region. The FinFET further includes a gate dielectric over the germanium-doped layer, a gate electrode over the gate dielectric, a source region connected to a first end of the semiconductor fin, and a drain region connected to a second end of the semiconductor fin opposite the first end. Through the doping of germanium in the semiconductor fin, the threshold voltage may be tuned.
    Type: Application
    Filed: October 2, 2017
    Publication date: January 25, 2018
    Inventor: Jeffrey Junhao Xu
  • Patent number: 9876123
    Abstract: An apparatus includes a metal gate, a substrate material, and an oxide layer between the metal gate and the substrate material. The oxide layer includes a hafnium oxide layer contacting the metal gate and a silicon dioxide layer contacting the substrate material and contacting the hafnium oxide layer. The metal gate, the substrate material, and the oxide layer are included in a one-time programmable (OTP) memory device. The OTP memory device includes a transistor. A non-volatile state of the OTP memory device is based on a threshold voltage shift of the OTP memory device.
    Type: Grant
    Filed: September 24, 2014
    Date of Patent: January 23, 2018
    Assignee: QUALCOMM Incorporated
    Inventors: Xia Li, Jeffrey Junhao Xu, Xiao Lu, Bin Yang, Jun Yuan, Xiaonan Chen, Zhongze Wang
  • Patent number: 9871121
    Abstract: In a particular embodiment, a method includes forming a first spacer structure on a dummy gate of a semiconductor device and forming a sacrificial spacer on the first spacer structure. The method also includes etching a structure of the semiconductor device to create an opening, removing the sacrificial spacer via the opening, and depositing a material to close to define a gap.
    Type: Grant
    Filed: July 25, 2014
    Date of Patent: January 16, 2018
    Assignee: QUALCOMM Incorporated
    Inventors: Jeffrey Junhao Xu, Kern Rim, John Jianhong Zhu, Stanley Seungchul Song, Mustafa Badaroglu, Vladimir Machkaoutsan, Da Yang, Choh Fei Yeap
  • Publication number: 20170352662
    Abstract: Complementary metal oxide semiconductor (CMOS) devices employing plasma-doped source/drain structures and related methods are disclosed. In certain aspects, a source and drain of a CMOS device are formed at end portions of a channel structure by plasma doping end portions of the channel structure above solid state solubility of the channel structure, and annealing the end portions for liquid phase epitaxy and activation (e.g., superactivation). In this manner, the source and drain can be integrally formed in the end portions of the channel structure to provide coextensive surface area contact between the source and drain and the channel structure for lower channel contact resistance. This is opposed to forming the source/drain using epitaxial growth that provides an overgrowth beyond the end portion surface area of the channel structure to reduce channel contact resistance, which may short adjacent channels structures.
    Type: Application
    Filed: May 23, 2017
    Publication date: December 7, 2017
    Inventor: Jeffrey Junhao Xu
  • Patent number: 9824936
    Abstract: An integrated circuit (IC) device may include a first active transistor of a first-type in a first-type region. The first active transistor may have a first-type work function material and a low channel dopant concentration in an active portion of the first active transistor. The IC device may also include a first isolation transistor of the first-type in the first-type region. The second active transistor may have a second-type work function material and the low channel dopant concentration in an active portion of the first isolation transistor. The first isolation transistor may be arranged adjacent to the first active transistor.
    Type: Grant
    Filed: October 13, 2016
    Date of Patent: November 21, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Vladimir Machkaoutsan, Mustafa Badaroglu, Jeffrey Junhao Xu, Stanley Seungchul Song, Choh Fei Yeap
  • Patent number: 9806177
    Abstract: A FinFET includes a semiconductor fin including an inner region, and a germanium-doped layer on a top surface and sidewall surfaces of the inner region. The germanium-doped layer has a higher germanium concentration than the inner region. The FinFET further includes a gate dielectric over the germanium-doped layer, a gate electrode over the gate dielectric, a source region connected to a first end of the semiconductor fin, and a drain region connected to a second end of the semiconductor fin opposite the first end. Through the doping of germanium in the semiconductor fin, the threshold voltage may be tuned.
    Type: Grant
    Filed: March 2, 2016
    Date of Patent: October 31, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Jeffrey Junhao Xu
  • Patent number: 9799560
    Abstract: A fin-type semiconductor device includes a gate structure and a source/drain structure. The fin-type semiconductor device also includes a gate hardmask structure coupled to the gate structure. The gate hardmask structure comprises a first material. The fin-type semiconductor device further includes a source/drain hardmask structure coupled to the source/drain structure. The source/drain hardmask structure comprises a second material.
    Type: Grant
    Filed: September 14, 2015
    Date of Patent: October 24, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Stanley Seungchul Song, Jeffrey Junhao Xu, Kern Rim, Da Yang, John Jianhong Zhu, Junjing Bao, Niladri Narayan Mojumder, Vladimir Machkaoutsan, Mustafa Badaroglu, Choh Fei Yeap
  • Patent number: 9793164
    Abstract: Self-aligned metal cut and via for Back-End-Of-Line (BEOL) processes for semiconductor integrated circuit (IC) fabrication, and related processes and devices, is disclosed. In this manner, mask placement overlay requirements can be relaxed. This relaxation can be multiples of that allowed by conventional BEOL techniques. This is enabled through application of different fill materials for alternating lines in which a conductor will later be placed. With these different fill materials in place, a print cut and via mask is used, with the mask allowed to overlap other adjacent fill lines to that of the desired line. Etching is then applied that is selective to the desired line but not adjacent lines.
    Type: Grant
    Filed: November 12, 2015
    Date of Patent: October 17, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Vladimir Machkaoutsan, Stanley Seungchul Song, John Jianhong Zhu, Junjing Bao, Jeffrey Junhao Xu, Mustafa Badaroglu, Matthew Michael Nowak, Choh Fei Yeap
  • Publication number: 20170278842
    Abstract: An integrated circuit includes a FinFET and a nanostructure FET. The integrated circuit includes a bulk substrate. The integrated circuit also includes a fin field effect transistor (FinFET) coupled to the bulk substrate. The FinFET includes a first source region, a first drain region, and a fin extending between the first source region and the first drain region. The integrated circuit also includes a nanostructure FET coupled to the bulk substrate. The nanostructure FET includes a second source region, a second drain region, and a stack of at least two nanostructures extending between the second source region and the second drain region.
    Type: Application
    Filed: March 25, 2016
    Publication date: September 28, 2017
    Inventors: Stanley Seungchul Song, Jeffrey Junhao Xu, Kern Rim, Choh Fei Yeap
  • Publication number: 20170271202
    Abstract: Forming self-aligned vertical interconnect accesses (vias) in interconnect structures for integrated circuits (ICs) is disclosed. To reduce or avoid misalignment of a via to an underlying, interconnected metal line, vias are fabricated in the interconnect structure to be self-aligned with an underlying, interconnected metal line. In this regard, underlying metal lines are formed in a dielectric layer. A recess is formed in an underlying metal line below a top surface of an inter-layer dielectric. A stop layer is disposed above the inter-layer dielectric and within the recess of the underlying metal line. The stop layer allows a via tunnel to be formed (e.g., etched) down within the recess of the underlying metal line to self-align the via tunnel with the underlying metal line. A conductive material is then deposited in the via tunnel extending into the recess to form the self-aligned via interconnected to the underlying metal line.
    Type: Application
    Filed: August 5, 2016
    Publication date: September 21, 2017
    Inventors: Jeffrey Junhao Xu, John Jianhong Zhu, Choh Fei Yeap
  • Publication number: 20170236841
    Abstract: A device includes a substrate, a fin, and an isolation layer. The device also includes an epitaxial cladding layer on a sidewall of the fin. The epitaxial cladding layer has a substantially uniform thickness and has a continuous lattice structure at an interface with the sidewall. The epitaxial cladding layer is positioned above the isolation layer.
    Type: Application
    Filed: May 20, 2016
    Publication date: August 17, 2017
    Inventors: Stanley Seungchul Song, Choh Fei Yeap, Jeffrey Junhao Xu, Kern Rim, Vladimir Machkaoutsan
  • Publication number: 20170221884
    Abstract: A device includes a substrate, a first nanowire field effect transistor (FET), and a second nanowire FET positioned between the substrate and the first nanowire FET. The device also includes a first nanowire electrically coupled to the first nanowire FET and to the second nanowire FET.
    Type: Application
    Filed: April 12, 2016
    Publication date: August 3, 2017
    Inventors: Vladimir Machkaoutsan, Stanley Seungchul Song, Mustafa Badaroglu, John Jianhong Zhu, Junjing Bao, Jeffrey Junhao Xu, Da Yang, Matthew Michael Nowak, Choh Fei Yeap
  • Patent number: 9721891
    Abstract: An integrated circuit device includes a first metal layer including aluminum. The integrated circuit device includes a second metal layer including an interconnect structure. The interconnect structure includes a layer of first material including aluminum. The integrated circuit device includes an inter-diffusion layer that includes aluminum. The inter-diffusion layer is proximate to the first metal layer and proximate to the layer of first material including aluminum. The integrated circuit device includes an aluminum oxide barrier layer. The aluminum oxide barrier layer is proximate to a dielectric layer and proximate to the layer of first material including aluminum.
    Type: Grant
    Filed: December 14, 2016
    Date of Patent: August 1, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Jeffrey Junhao Xu, Junjing Bao, John Jianhong Zhu, Stanley Seungchul Song, Niladri Narayan Mojumder, Choh Fei Yeap
  • Publication number: 20170207313
    Abstract: Nanowire metal-oxide semiconductor (MOS) Field-Effect Transistors (FETs) (MOSFETs) employing a nanowire channel structure employing recessed conductive structures for conductively coupling nanowire structures are disclosed. Conductive structures are disposed between adjacent nanowire structures to conductively couple nanowire structures. Providing conductive structures in the nanowire channel structure increases the average cross-sectional area of nanowire structures, as compared to a similar nanowire channel structure not employing conductive structures, thus increasing effective channel width and drive strength for a given channel structure height. The precision of a gate material filling process is also eased, because gate material does not have to be disposed in areas between adjacent nanowire structures occupied by conductive structures.
    Type: Application
    Filed: July 19, 2016
    Publication date: July 20, 2017
    Inventors: Stanley Seungchul Song, Jeffrey Junhao Xu, Kern Rim, Da Yang, Peijie Feng, Choh Fei Yeap
  • Publication number: 20170186846
    Abstract: A nanowire transistor is provided that includes a well implant having a local isolation region for insulating a replacement metal gate from a parasitic channel. In addition, the nanowire transistor includes oxidized caps in the extension regions that inhibit parasitic gate-to-source and gate-to-drain capacitances.
    Type: Application
    Filed: December 28, 2015
    Publication date: June 29, 2017
    Inventors: Mustafa Badaroglu, Vladimir Machkaoutsan, Stanley Seungchul Song, Jeffrey Junhao Xu, Matthew Michael Nowak, Choh Fei Yeap
  • Publication number: 20170179261
    Abstract: A method of forming an electronic device includes forming an oxygen scavenging layer proximate to a dielectric layer in a gate region of a field effect transistor (FET). The interface layer is between the dielectric layer and a substrate of the FET. The method further includes forming a dipole layer by annealing the oxygen scavenging layer, the dielectric layer, and the interface layer.
    Type: Application
    Filed: March 2, 2017
    Publication date: June 22, 2017
    Inventors: Jeffrey Junhao Xu, Xia Li
  • Publication number: 20170170268
    Abstract: Nanowire metal-oxide semiconductor (MOS) Field-Effect Transistors (FETs) (MOSFETs) employing a nanowire channel structure having rounded nanowire structures is disclosed. To reduce the distance between adjacent nanowire structures to reduce parasitic capacitance while providing sufficient gate control of the channel, the nanowire channel structure employs rounded nanowire structures. For example, the rounded nanowire structures provide for a decreased height from a center area of the rounded nanowire structures to end areas of the rounded nanowire structures. Gate material is disposed around rounded ends of the rounded nanowire structures to extend into a portion of separation areas between adjacent nanowire structures.
    Type: Application
    Filed: December 2, 2016
    Publication date: June 15, 2017
    Inventors: Stanley Seungchul Song, Peijie Feng, Kern Rim, Jeffrey Junhao Xu, Choh Fei Yeap
  • Publication number: 20170140986
    Abstract: Self-aligned metal cut and via for Back-End-Of-Line (BEOL) processes for semiconductor integrated circuit (IC) fabrication, and related processes and devices, is disclosed. In this manner, mask placement overlay requirements can be relaxed. This relaxation can be multiples of that allowed by conventional BEOL techniques. This is enabled through application of different fill materials for alternating lines in which a conductor will later be placed. With these different fill materials in place, a print cut and via mask is used, with the mask allowed to overlap other adjacent fill lines to that of the desired line. Etching is then applied that is selective to the desired line but not adjacent lines.
    Type: Application
    Filed: November 12, 2015
    Publication date: May 18, 2017
    Inventors: Vladimir Machkaoutsan, Stanley Seungchul Song, John Jianhong Zhu, Junjing Bao, Jeffrey Junhao Xu, Mustafa Badaroglu, Matthew Michael Nowak, Choh Fei Yeap