Patents by Inventor Jingyun Zhang

Jingyun Zhang has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11778363
    Abstract: An audio data transmission method. An electronic device transmits audio data to a first earbud of a TWS headset by using a first CIS of a first CIG, and transmits audio data to a second earbud of the TWS headset by using a second CIS of the first CIG. The electronic device determines that the TWS headset is switched from a double-earbud mode (namely, a mode in which the first earbud and the second earbud are used together as audio input/output devices of the electronic device) to a first single-earbud mode (namely, a mode in which the first earbud is independently used as an audio input/output device of the electronic device). In response to the determining, the electronic device deactivates the second CIS, stops transmitting audio data to the second earbud by using the second CIS, and continues transmitting audio data to the first earbud by using the first CIS.
    Type: Grant
    Filed: December 24, 2018
    Date of Patent: October 3, 2023
    Assignee: Huawei Technologies Co., Ltd.
    Inventors: Yuhong Zhu, Liang Wang, Yong Zheng, Jingyun Zhang
  • Patent number: 11756960
    Abstract: A method for forming a semiconductor device structure includes removing a portion of a first dielectric layer surrounding each of a plurality of channel layers of at least a first nanosheet stack. A portion of a second dielectric layer surrounding each of a plurality of channel layers of at least a second nanosheet stack is crystallized. A dipole layer is formed on the etched first dielectric layer and the crystallized portion of the second dielectric layer. The dipole layer is diffused into the etched first dielectric layer. The crystallized portion of the second dielectric layer prevents the dipole layer form diffusing into the second dielectric layer.
    Type: Grant
    Filed: September 24, 2021
    Date of Patent: September 12, 2023
    Assignee: International Business Machines Corporation
    Inventors: Jingyun Zhang, Takashi Ando, Choonghyun Lee
  • Patent number: 11756996
    Abstract: A semiconductor device includes a substrate material with a semiconductor material with a predetermined crystal orientation, a gate stack having a plurality of nanosheet channel layers, each nanosheet channel layer being controlled by metal gate layers located above and below the nanosheet channel layer, each nanosheet channel layer having the same semiconductor material and crystal orientation as that of the substrate, and a source/drain region on opposite sides of the gate stack. Each source/drain region includes bridging structures respectively connected to each nanosheet channel layer.
    Type: Grant
    Filed: April 20, 2018
    Date of Patent: September 12, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Takashi Ando, Pouya Hashemi, Choonghyun Lee, Alexander Reznicek, Jingyun Zhang
  • Publication number: 20230282728
    Abstract: Semiconductor devices and methods of forming the same include forming a stack of alternating first and second sacrificial layers. The first sacrificial layers are recessed relative to the second sacrificial layers. Replacement channel layers are grown from sidewalls of the first sacrificial layers. A first source/drain region is grown from the replacement channel layer. The recessed first sacrificial layers are etched away. A second source/drain region is grown from the replacement channel layer. The second sacrificial layers are etched away. A gate stack is formed between and around the replacement channel layers.
    Type: Application
    Filed: May 15, 2023
    Publication date: September 7, 2023
    Inventors: Jingyun Zhang, ChoongHyun Lee, Chun Wing Yeung, Robin Hsin Kuo Chao, Heng Wu
  • Patent number: 11742409
    Abstract: Semiconductor devices and methods of forming the same include forming a stack of alternating first and second sacrificial layers. The first sacrificial layers are recessed relative to the second sacrificial layers. Replacement channel layers are grown from sidewalls of the first sacrificial layers. A first source/drain region is grown from the replacement channel layer. The recessed first sacrificial layers are etched away. A second source/drain region is grown from the replacement channel layer. The second sacrificial layers are etched away. A gate stack is formed between and around the replacement channel layers.
    Type: Grant
    Filed: June 14, 2021
    Date of Patent: August 29, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jingyun Zhang, Choonghyun Lee, Chun Wing Yeung, Robin Hsin Kuo Chao, Heng Wu
  • Patent number: 11735480
    Abstract: Embodiments of the invention are directed to a transistor that includes a source or drain (S/D) region having an S/D formation assistance region, wherein the S/D formation assistance region includes a top surface, sidewalls, and a bottom surface. The S/D formation assistance region is at least partially within a portion of a substrate. An S/D isolation region is formed around the sidewalls and the bottom surface of the S/D formation assistance region and configured to electrically isolate the S/D formation assistance region from the substrate.
    Type: Grant
    Filed: February 23, 2022
    Date of Patent: August 22, 2023
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Alexander Reznicek, Effendi Leobandung, Jingyun Zhang
  • Patent number: 11735628
    Abstract: A semiconductor structure, and a method of making the same, includes an inner spacer located between channel nanosheets on a semiconductor substrate, a first portion of the inner spacer located on a first side of the semiconductor structure and a second portion of the inner spacer located on a second side opposing the first side, the first portion of the inner spacer on the first side including a protruding region extending outwards from a middle top surface of the first portion of the inner spacer, and a metal gate stack in direct contact with the inner spacer, the first portion of the inner spacer including the protruding region pinching off the metal gate stack for increasing a threshold voltage on the first side.
    Type: Grant
    Filed: March 1, 2021
    Date of Patent: August 22, 2023
    Assignee: International Business Machines Corporation
    Inventors: Takashi Ando, Ruilong Xie, Alexander Reznicek, Jingyun Zhang
  • Patent number: 11735593
    Abstract: A semiconductor structure includes a semiconductor substrate, with first, second, and third field effect transistors (FETs) formed on the substrate. A gate of the first FET includes a gate electrode, a first work function metal (WFM) layered with a first interfacial layer (IL) and a first high-k dielectric (HK); a gate of the second FET includes the first WFM layered with a second IL, a second HK, and a first dipole material; and a gate of the third FET includes the first WFM layered with a third IL, a third HK, the first dipole material, and a second dipole material. The first FET does not include the first dipole material and does not include the second dipole material, and the second FET does not include the second dipole material.
    Type: Grant
    Filed: November 4, 2021
    Date of Patent: August 22, 2023
    Assignee: International Business Machines Corporation
    Inventors: Ruqiang Bao, Jingyun Zhang, Koji Watanabe, Jing Guo
  • Publication number: 20230261074
    Abstract: A semiconductor device including a first nanosheet device located on a substrate. The first nanosheet device includes a first plurality of nanosheets and each of the first plurality of nanosheets are surround by a first dipole. The first dipole has a first concentration of a first dipole material. A second nanosheet device located on the substrate. The second nanosheet device includes a second plurality of nanosheets and each of the second plurality of nanosheets are surround by a second dipole. The second dipole has a second concentration of a second dipole material. The first concentration and the second concentration are different.
    Type: Application
    Filed: February 11, 2022
    Publication date: August 17, 2023
    Inventors: Ruqiang Bao, Jingyun Zhang, Jing Guo
  • Publication number: 20230246067
    Abstract: A MOSFET includes a semiconductor substrate, which has a body and an upper layer. The upper layer is doped differently than the body. The body and the upper layer are of a same crystal structure and orientation. The MOSFET also includes a p-type FET on the upper layer of the substrate. The p-type FET includes p-doped source/drain structures that sandwich one or more channels and a p gate stack with a p-type work function metal. In one or more embodiments, the p-doped source/drain structures are of the same crystal structure and orientation as the upper layer of the substrate and directly contact the upper layer of the substrate. In one or more embodiments, the upper layer of the substrate is doped differently than the p-doped source/drain structures, such that the p-doped source/drain structures and the upper layer of the substrate form pn-type diodes.
    Type: Application
    Filed: February 3, 2022
    Publication date: August 3, 2023
    Inventors: Jingyun Zhang, Ruqiang Bao, Sung Dae Suk
  • Patent number: 11710699
    Abstract: A CFET includes a fin that has a bottom channel portion, a top channel portion, and a channel isolator between the bottom channel portion and the top channel portion. The CFET further includes a source and drain stack that has a bottom source or drain (S/D) region connected to the bottom channel portion, a top S/D region connected to the top channel portion, a source-drain isolator between the bottom S/D region and the top S/D region. The CFET further includes a spacer foot physically connected to a base sidewall portion of the bottom S/D region and a buried S/D contact that is physically connected to an upper sidewall portion of the bottom S/D region. The CFET may further include a common gate around the bottom channel portion, around the top channel portion, and around the channel isolator.
    Type: Grant
    Filed: September 1, 2021
    Date of Patent: July 25, 2023
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Jingyun Zhang, Reinaldo Vega, Kangguo Cheng
  • Patent number: 11688741
    Abstract: A semiconductor device is provided. The semiconductor device includes a semiconductor substrate; a transistor stack structure formed on the semiconductor substrate, the transistor stack structure including a first FET and a second FET, where the first FET is a different polarity than the second FET; a first source-drain epitaxial layer of the first FET formed directly on the substrate adjacent to the first FET; and a second source-drain epitaxial layer of the second FET formed on the substrate adjacent to the second FET, wherein a bottom dielectric isolation layer is formed between the substrate and the second epitaxial layer.
    Type: Grant
    Filed: March 26, 2021
    Date of Patent: June 27, 2023
    Assignee: International Business Machines Corporation
    Inventors: Andrew M. Greene, Julien Frougier, Jingyun Zhang, Sung Dae Suk, Veeraraghavan S. Basker, Ruilong Xie
  • Publication number: 20230197814
    Abstract: Semiconductor devices and methods of forming the same include forming a first stack of nanosheets in a first region, the first stack of nanosheets including upper first nanosheets and lower first nanosheets. A second stack of nanosheets is formed in a second region, the second stack of nanosheets including upper second nanosheets and lower second nanosheets. A lower gate cut structure is formed between the lower first nanosheets and the lower second nanosheets. A gate stack is formed on the first and second stack of nanosheets after forming the lower gate cut structure. An upper gate cut structure is formed after forming the gate stack.
    Type: Application
    Filed: December 17, 2021
    Publication date: June 22, 2023
    Inventors: Ruilong Xie, Chen Zhang, Jingyun Zhang, Carl Radens
  • Publication number: 20230197778
    Abstract: Embodiments herein include semiconductor structures with an active channel stack having an upper field-effect transistor (FET) and a lower FET vertically stacked below the upper FET The semiconductor structure may also include a dummy stub adjacent to the active channel stack, a lower source/drain (S/D) connected to the active channel stack and laterally extended over the dummy stub, and an upper S/D connected to the active channel stack above the lower S/D.
    Type: Application
    Filed: December 21, 2021
    Publication date: June 22, 2023
    Inventors: Ruilong Xie, Chen Zhang, Jingyun Zhang, PIETRO MONTANINI
  • Publication number: 20230197530
    Abstract: A semiconductor device is provided. The semiconductor device includes a top field effect device over a bottom field effect device, and a bottom contact electrically connecting a bottom source/drain of the bottom field effect device to a first buried power rail. The semiconductor device further includes a bottom contact cap on the bottom contact, and a trench liner on opposite sides of the bottom contact cap and the bottom contact.
    Type: Application
    Filed: December 17, 2021
    Publication date: June 22, 2023
    Inventors: Ruilong Xie, Andrew M. Greene, Veeraraghavan S. Basker, Jingyun Zhang, Alexander Reznicek
  • Publication number: 20230187508
    Abstract: A semiconductor structure includes a source/drain region having a recessed portion. The semiconductor structure further includes a metal contact having a first portion and a second portion. The first portion of the metal contact has a first width and the second portion of the metal contact has a second width greater than the first width. At least a portion of the second portion of the metal contact is disposed in the recessed portion of the source/drain region.
    Type: Application
    Filed: December 14, 2021
    Publication date: June 15, 2023
    Inventors: Ruilong Xie, Jingyun Zhang, Reinaldo Vega, Alexander Reznicek
  • Publication number: 20230189496
    Abstract: A semiconductor device is provided. The semiconductor device includes a first device including a first nanosheet stack formed on a substrate, the first nanosheet stack including alternating layers of a first work function metal (WFM) gate layer and an active semiconductor layer, a second nanosheet stack formed on the substrate, the second nanosheet stack including alternating layers of a second WFM gate layer and the active semiconductor layer, a shallow trench isolation (STI) region formed in the substrate between the first nanosheet stack and the second nanosheet stack, and an STI divot formed in the STI region. The first WFM gate layer of the first nanosheet stack is formed in the STI divot.
    Type: Application
    Filed: December 13, 2021
    Publication date: June 15, 2023
    Inventors: CHOONGHYUN LEE, TAKASHI ANDO, JINGYUN ZHANG, ALEXANDER REZNICEK
  • Publication number: 20230187495
    Abstract: A semiconductor structure is formed using a nanosheet stack that is over a semiconductor substrate. The semiconductor structure includes multiple layers of work function that surround each channel of a plurality of channels in the nanosheet stack and are on the semiconductor substrate under the nanosheet stack. Adjacent layers of the work function metal in the semiconductor structure are separated by an oxide material. The oxide material is a very thin layer of an oxide with a thickness of several angstroms or less. The semiconductor structure includes an n-type work function metal that is over an outer layer of the multiple layers of the work function metal. The n-type work function metal can be an aluminum containing metal that is covered by a capping material under a gate electrode material.
    Type: Application
    Filed: December 9, 2021
    Publication date: June 15, 2023
    Inventors: RUQIANG BAO, Koji Watanabe, Muthumanickam Sankarapandian, Jingyun Zhang
  • Publication number: 20230178539
    Abstract: A semiconductor device is provided. The semiconductor device includes a first field effect device on a first region of a substrate, wherein a first gate structure and an electrostatic discharge device on a second region of the substrate, wherein a second gate structure for the electrostatic discharge device is separated from the substrate by the bottom dielectric layer, and a second source/drain for the electrostatic discharge device is in electrical contact with the substrate, wherein the second source/drain is doped with a second dopant type.
    Type: Application
    Filed: December 8, 2021
    Publication date: June 8, 2023
    Inventors: Julien Frougier, Sagarika Mukesh, Anthony I. Chou, Andrew M. Greene, Ruilong Xie, Veeraraghavan S. Basker, Junli Wang, Effendi Leobandung, Jingyun Zhang, Nicolas Loubet
  • Publication number: 20230178621
    Abstract: A nanosheet semiconductor device includes channel nanosheets each connected to a source/drain region that has a front surface, a rear surface, and an internal recess between the front surface and the rear surface. The device further includes a source/drain region contact in physical contact with the V shaped internal recess, with the front surface, and with the rear surface. The device may be fabricated by forming the source/drain region, recessing the source/drain region, and by forming a sacrificial source/drain region upon and around the recessed source/drain region. The sacrificial source/drain region may be removed and the source/drain region contact may be formed in place thereof.
    Type: Application
    Filed: December 7, 2021
    Publication date: June 8, 2023
    Inventors: Ruilong Xie, Reinaldo Vega, Yao Yao, Andrew M. Greene, Veeraraghavan S. Basker, Pietro Montanini, Jingyun Zhang, Robert Robison