Patents by Inventor Jingyun Zhang

Jingyun Zhang has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11251094
    Abstract: Embodiments of the present invention are directed to fabrication method and resulting structures for vertical tunneling field effect transistors (VFETs) having an oxygen vacancy passivating bottom spacer. In a non-limiting embodiment of the invention, a first semiconductor fin is formed in a first region of a substrate and a second semiconductor fin is formed in a second region of the substrate. A bilayer bottom spacer is formed in direct contact with sidewalls of the semiconductor fins. The bilayer bottom spacer includes a first layer and an oxygen-donating second layer positioned on the first layer. A first dielectric film is formed on the sidewalls of the first semiconductor fin. The first dielectric film terminates on the first layer. A second dielectric film is formed on the sidewalls of the second semiconductor fin. The second dielectric film extends onto a surface of the oxygen-donating second layer.
    Type: Grant
    Filed: February 7, 2020
    Date of Patent: February 15, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Choonghyun Lee, Takashi Ando, Alexander Reznicek, Jingyun Zhang
  • Patent number: 11245009
    Abstract: A semiconductor device that includes a fin structure, and a channel epitaxial wrap around layer at each end of a channel portion of the fin structure. The semiconductor device also includes a gate structure including a gate dielectric having gate edge portions in direct contact with the channel epitaxial wrap around layer. A middle portion of the gate dielectric is in direct contact with a central channel portion of the fin structure between the two ends of the channel portion of the fin structure. Source and drain regions are present on opposing sides of the channel portion of the fin structure.
    Type: Grant
    Filed: April 15, 2020
    Date of Patent: February 8, 2022
    Assignee: International Business Machines Corporation
    Inventors: Alexander Reznicek, Jingyun Zhang
  • Publication number: 20220039179
    Abstract: An electronic device establishes a first Bluetooth connection to a first wireless earbud, where there is a wireless connection between the first wireless earbud and a second wireless earbud. The electronic device establishes a second Bluetooth connection to the second wireless earbud by using the first wireless earbud. The electronic device simultaneously maintains the first Bluetooth connection and the second Bluetooth connection.
    Type: Application
    Filed: November 30, 2018
    Publication date: February 3, 2022
    Inventors: Zhichao Chen, Liang Wang, Yuhong Zhu, Yong Zheng, Jingyun Zhang
  • Patent number: 11239359
    Abstract: Channel engineering is employed to obtain a gate-all-around field-effect transistor having an asymmetric threshold voltage. A dual channel profile enables a steep potential distribution near the source side that enhances the lateral channel electric field and thus increases the carrier mobility.
    Type: Grant
    Filed: September 29, 2018
    Date of Patent: February 1, 2022
    Assignee: International Business Machines Corporation
    Inventors: Jingyun Zhang, Choonghyun Lee, Takashi Ando, Pouya Hashemi, Alexander Reznicek
  • Patent number: 11222979
    Abstract: FET devices with bottom dielectric isolation and sidewall implants in the source and drain regions to prevent epitaxial growth below the bottom dielectric isolation are provided. In one aspect, a semiconductor FET device includes: a device stack(s) disposed on a substrate, wherein the device stack(s) includes active layers oriented vertically over a bottom dielectric isolation layer; STI regions embedded in the substrate at a base of the device stack(s), wherein a top surface of the STI regions is recessed below a top surface of the substrate exposing substrate sidewalls under the bottom dielectric isolation region, wherein the sidewalls of the substrate include implanted ions; source and drains on opposite sides of the active layers; and gates surrounding a portion of each of the active layers, wherein the gates are offset from the source and drains by inner spacers. A method of forming a semiconductor FET device is also provided.
    Type: Grant
    Filed: April 22, 2020
    Date of Patent: January 11, 2022
    Assignee: International Business Machines Corporation
    Inventors: Xin Miao, Alexander Reznicek, Jingyun Zhang, Ruilong Xie
  • Publication number: 20220005941
    Abstract: A method of forming a semiconductor device that includes forming an inner dielectric spacer and outer dielectric spacer combination structure on a sacrificial gate structure that is present on a fin structure, wherein the inner dielectric spacer and outer dielectric spacer combination structure separates source and drain regions from the sacrificial gate structure. The method further includes removing the inner sidewall dielectric spacer; and forming a channel epitaxial wrap around layer on the portion of the fin structure that is exposed by removing the inner sidewall dielectric spacer. The method further includes removing the sacrificial gate structure to provide a gate opening to a channel portion of the fin structure, wherein the gate opening exposes the channel epitaxial wrap around layer; and forming a functional gate structure within the gate opening.
    Type: Application
    Filed: September 20, 2021
    Publication date: January 6, 2022
    Inventors: Alexander Reznicek, Takashi Ando, Jingyun Zhang, Ruilong Xie
  • Publication number: 20210409856
    Abstract: A point-to-multipoint data transmission method and device, the method including a left earbud and a right earbud of a true wireless stereo (TWS) headset separately performing pairing and service content negotiation with an electronic device. The left earbud receives left configuration information sent by the electronic device, to configure a left ISO channel, and the right earbud receives right configuration information sent by the electronic device, to configure a right ISO channel. The left earbud receives, based on the BLE-based audio profile, audio data that is sent by the electronic device through the left ISO channel, and the right earbud receives, based on the BLE-based audio profile, the audio data that is sent by the electronic device through the right ISO channel. The left earbud and the right earbud play the respectively received audio data based on a first timestamp.
    Type: Application
    Filed: November 30, 2018
    Publication date: December 30, 2021
    Inventors: Yuhong Zhu, Liang Wang, Yong Zheng, Jingyun Zhang
  • Patent number: 11205728
    Abstract: A vertical field effect transistor (VFET) has a top source/drain (S/D) with a first region having a first area and a first capacitance and a second region having a second area and a second capacitance. A first top spacer on a gate cross section area. A second top spacer with a varying thickness is disposed the first top spacer. Both the first and second top spacers are between the top S/D and the gate cross section area. Due to the varying thickness of the second spacer with the smaller thickness closer to the fin, the separation distance between the larger, first area and the gate cross section area is greater than the separation distance between the smaller, second area and the gate cross section area. Therefore, the first capacitance is reduced because of the larger separation distance and the second capacitance is reduced because of the smaller second area.
    Type: Grant
    Filed: May 23, 2019
    Date of Patent: December 21, 2021
    Assignee: International Business Machines Corporation
    Inventors: Choonghyun Lee, Alexander Reznicek, Xin Miao, Jingyun Zhang
  • Publication number: 20210391222
    Abstract: Semiconductor FET devices with bottom dielectric isolation and high-? first are provided. In one aspect, a semiconductor FET device includes: a substrate; at least one device stack including active layers oriented horizontally one on top of another on the substrate; source and drains alongside the active layers; and gates, offset from the source and drains by inner spacers, surrounding a portion of each of the active layers, wherein the gates include a gate dielectric that wraps around the active layers but is absent from sidewalls of the inner spacers. A method of forming a semiconductor FET device is also provided.
    Type: Application
    Filed: June 11, 2020
    Publication date: December 16, 2021
    Inventors: Ruilong Xie, Julien Frougier, Jingyun Zhang, Alexander Reznicek, Takashi Ando
  • Patent number: 11195911
    Abstract: A semiconductor structure is provided that includes nanosheet containing devices having a bottom dielectric isolation structure and high quality source/drain (S/D) structures. In the present application, the bottom dielectric isolation structure is formed after the S/D structures to ensure high quality epitaxy for both long channel and short channel nanosheet containing devices. The bottom dielectric isolation structure of the present application has a first portion that is located beneath each nanosheet stack and a second portion that is located in a single diffusion break point trench.
    Type: Grant
    Filed: December 23, 2019
    Date of Patent: December 7, 2021
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Xin Miao, Takashi Ando, Jingyun Zhang
  • Publication number: 20210375685
    Abstract: Embodiments of the invention are directed to a method of performing fabrication operations to form a transistor, wherein the fabrication operations include forming a source or drain (S/D) region having an S/D formation assistance region at least partially within a portion of a substrate. An S/D isolation region is formed around sidewalls and a bottom surface of the S/D formation assistance region and configured to electrically isolate the S/D formation assistance region from the substrate.
    Type: Application
    Filed: May 27, 2020
    Publication date: December 2, 2021
    Inventors: Ruilong Xie, Alexander Reznicek, Effendi Leobandung, Jingyun Zhang
  • Patent number: 11189661
    Abstract: A first fin field effect transistor (FinFET) has an internal source/drain (S/D) with a facetted face that is connected to a dielectric side of a first RRAM. A second FinFET and RRAM structure are also disclosed. In some embodiments, an electrode contact side of each RRAM is connected in common to form a 2T2R device. The locations of one or more electrode points on the diamond-shaped, facetted surface of the bottom electrode accurately position electric fields through the dielectric to accurately and repeatably locate where the filaments/current paths are formed (or reset) through the RRAM dielectric. Material selection and accurate thickness of the RRAM dielectric determine the voltage at which the filaments/current paths are formed (or reset).
    Type: Grant
    Filed: September 5, 2019
    Date of Patent: November 30, 2021
    Assignee: International Business Machines Corporation
    Inventors: Alexander Reznicek, Takashi Ando, Pouya Hashemi, Choonghyun Lee, Jingyun Zhang
  • Publication number: 20210358911
    Abstract: A fork-sheet semiconductor device includes a first-type source/drain region on a substrate and a second-type source/drain region on the substrate and separated from the first-type source/drain region by an insulator pillar. The fork-sheet semiconductor device further includes a first metal portion and a second metal portion. The first metal portion completely covers a first upper surface and a first exposed sidewall the first-type source/drain region and the second metal portion completely covers a second upper surface and a second exposed sidewall the second-type source/drain region. The first and second metal portions are separated from one another by the insulator pillar. A first-type contact portion extends vertically from the first metal portion and an opposing second-type contact portion extends vertically from the second metal portion. A first upper interconnect structure contacts the first-type contact portion and a second upper interconnect structure contacts the second-type contact portion.
    Type: Application
    Filed: May 15, 2020
    Publication date: November 18, 2021
    Inventors: Jingyun Zhang, Ruilong Xie, Alexander Reznicek, Xin Miao
  • Patent number: 11177366
    Abstract: A method of forming a semiconductor device that includes forming an inner dielectric spacer and outer dielectric spacer combination structure on a sacrificial gate structure that is present on a fin structure, wherein the inner dielectric spacer and outer dielectric spacer combination structure separates source and drain regions from the sacrificial gate structure. The method further includes removing the inner sidewall dielectric spacer; and forming a channel epitaxial wrap around layer on the portion of the fin structure that is exposed by removing the inner sidewall dielectric spacer. The method further includes removing the sacrificial gate structure to provide a gate opening to a channel portion of the fin structure, wherein the gate opening exposes the channel epitaxial wrap around layer; and forming a functional gate structure within the gate opening.
    Type: Grant
    Filed: January 13, 2020
    Date of Patent: November 16, 2021
    Assignee: International Business Machines Corporation
    Inventors: Alexander Reznicek, Takashi Ando, Jingyun Zhang, Ruilong Xie
  • Publication number: 20210349691
    Abstract: An embodiment of the invention may include a method of forming and a resulting multiply-and-accumulate device. The device may include a capacitor in a second region. The capacitor comprises a dielectric located between a first metal contact and a second metal contact. The device may include a stacked nanosheet device in the first region from the nanosheet. The stacked nanosheet device may include a top transistor and a bottom transistor in contact with the first metal contact. The device may include a nanosheet device in the third region, wherein a source/drain of a transistor of the nanosheet device is in contact with the first metal contact.
    Type: Application
    Filed: May 11, 2020
    Publication date: November 11, 2021
    Inventors: Bahman Hekmatshoartabari, Ruilong Xie, Alexander Reznicek, Jingyun Zhang
  • Patent number: 11164960
    Abstract: Embodiments of the invention are directed to a method of performing fabrication operations to form a transistor. The fabrication operations include forming a nanosheet having a first nanosheet sidewall and a second nanosheet sidewall. The nanosheet is communicatively coupled to a source region at the first nanosheet sidewall. The nanosheet is communicatively coupled to a drain region at the second nanosheet sidewall. The nanosheet further includes a source-side nanosheet region that includes the first nanosheet sidewall. The nanosheet further includes a drain-side nanosheet region that includes the second nanosheet sidewall. Dopants are provided in the source-side nanosheet region using an in-situ doping process, wherein a doping concentration in the source-side nanosheet region is greater than a doping concentration of the drain-side nanosheet region.
    Type: Grant
    Filed: April 28, 2020
    Date of Patent: November 2, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Jingyun Zhang, Ruilong Xie, Alexander Reznicek
  • Patent number: 11165017
    Abstract: A replacement bottom electrode structure process is provided in which a patterned stack containing a MTJ pillar and a top electrode structure is fabricated and passivated on a sacrificial dielectric material plug that is embedded in a dielectric capping layer. The sacrificial dielectric material plug is then removed and replaced with a bottom electrode structure. The replacement bottom electrode structure process of the present application allows the MTJ patterning to be misalignment tolerate and fully eliminates the potential yield loss from the bottom electrode structure.
    Type: Grant
    Filed: March 15, 2019
    Date of Patent: November 2, 2021
    Assignee: International Business Machines Corporation
    Inventors: Pouya Hashemi, Takashi Ando, Dimitri Houssameddine, Alexander Reznicek, Jingyun Zhang, Choonghyun Lee
  • Patent number: 11164792
    Abstract: A semiconductor structure includes a first field-effect transistor disposed on a substrate. The first field-effect transistor includes a stack of nanosheet layers, a first gate, and a first source/drain region. The semiconductor structure further includes a second field-effect transistor vertically stacked above the first field-effect transistor. The second field-effect transistor includes a plurality of nanowires, a second gate, and a second source/drain region. The first gate and the second gate are vertically aligned. The first source/drain region and the second source/drain region are vertically aligned.
    Type: Grant
    Filed: January 8, 2020
    Date of Patent: November 2, 2021
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Alexander Reznicek, Jingyun Zhang, Junli Wang
  • Publication number: 20210336056
    Abstract: FET devices with bottom dielectric isolation and sidewall implants in the source and drain regions to prevent epitaxial growth below the bottom dielectric isolation are provided. In one aspect, a semiconductor FET device includes: a device stack(s) disposed on a substrate, wherein the device stack(s) includes active layers oriented vertically over a bottom dielectric isolation layer; STI regions embedded in the substrate at a base of the device stack(s), wherein a top surface of the STI regions is recessed below a top surface of the substrate exposing substrate sidewalls under the bottom dielectric isolation region, wherein the sidewalls of the substrate include implanted ions; source and drains on opposite sides of the active layers; and gates surrounding a portion of each of the active layers, wherein the gates are offset from the source and drains by inner spacers. A method of forming a semiconductor FET device is also provided.
    Type: Application
    Filed: April 22, 2020
    Publication date: October 28, 2021
    Inventors: Xin Miao, Alexander Reznicek, Jingyun Zhang, Ruilong Xie
  • Publication number: 20210336038
    Abstract: Embodiments of the invention are directed to a method of performing fabrication operations to form a transistor. The fabrication operations include forming a nanosheet having a first nanosheet sidewall and a second nanosheet sidewall. The nanosheet is communicatively coupled to a source region at the first nanosheet sidewall. The nanosheet is communicatively coupled to a drain region at the second nanosheet sidewall. The nanosheet further includes a source-side nanosheet region that includes the first nanosheet sidewall. The nanosheet further includes a drain-side nanosheet region that includes the second nanosheet sidewall. Dopants are provided in the source-side nanosheet region using an in-situ doping process, wherein a doping concentration in the source-side nanosheet region is greater than a doping concentration of the drain-side nanosheet region.
    Type: Application
    Filed: April 28, 2020
    Publication date: October 28, 2021
    Inventors: Jingyun Zhang, Ruilong Xie, Alexander Reznicek