Patents by Inventor Junli Wang

Junli Wang has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240170331
    Abstract: A method of fabrication a semiconductor device includes forming a stack of semiconductor nanosheets on a semiconductor substrate, and performing a nanosheet fin reveal cut process that etches the stack of semiconductor nanosheets to from a first nanosheet fin and a second nanosheet fin. The first and second nanosheet fins are separated by one another by a distance defining an isolation region. The method further includes forming an isolation wall in the isolation region, where the isolation wall extends continuously from a wall based contacting the semiconductor substrate to an opposing wall upper surface. The method further includes forming an electrically conductive gate stack that surrounds the first nanosheet fin, the second nanosheet fin, and the isolation wall, and forming a gate interlayer dielectric (ILD) on an upper surface the electrically conductive gate stack such that the wall upper surface contacts the gate ILD.
    Type: Application
    Filed: November 22, 2022
    Publication date: May 23, 2024
    Inventors: Tsung-Sheng Kang, Junli Wang, Alexander Reznicek, Jingyun Zhang
  • Publication number: 20240168024
    Abstract: The present disclosure provides a biomarker for detecting lung cancer and use thereof. A proteomics method is used to analyze a protein with significant differences in blood of a patient with lung cancer and normal people, such that a series of biomarkers capable of early predicting an occurrence risk of lung cancer are screened out, a group of biomarkers are further screened to construct a diagnosis model for lung cancer, and the model may be used for conveniently, non-invasively and effectively predicting whether an individual suffers from lung cancer or not, and meets clinical needs.
    Type: Application
    Filed: August 28, 2023
    Publication date: May 23, 2024
    Inventors: Junli GAO, Junshun GAO, Xiaojun PENG, Weixin WANG, Qinqin LOU, Hong GUAN
  • Publication number: 20240172408
    Abstract: A stacked layer memory for a SRAM includes a first layer of the SRAM, including multiple transistors of a first type, and includes a second layer of the SRAM, having multiple transistors of a second type. The first and second layers are different layers stacked vertically. A width of individual SRAM cells of the stacked layer memory is defined at least by a pitch of a single transistor of the transistors of the first type and the transistors of the second type. A method for forming the stacked layer memory for the SRAM includes forming the first layer and the second layer. The first and second layers are different layers and are formed to be stacked vertically. A width of individual SRAM cells of the stacked layer memory is defined at least by a pitch of a single transistor of the transistors of the first and second types.
    Type: Application
    Filed: November 21, 2022
    Publication date: May 23, 2024
    Inventors: Brent A. Anderson, Albert M. Chu, Ruilong Xie, Junli Wang, Carl Radens
  • Publication number: 20240164089
    Abstract: Embodiments of the present invention are directed to processing methods and resulting structures having backside programmable memory cells. In a non-limiting embodiment, a front end of line structure having a plurality of programmable cells is formed such that each programmable cell includes a backside via in direct contact with a device region of the respective cell. A first portion of the backside vias defines one or more placeholder backside vias and a second portion defines one or more programmed backside vias. A back end of line structure (word line) is formed on a first surface of the front end of line structure. A backside structure is formed on a second surface of the front end of line structure opposite the first surface. The backside structure includes a backside metallization layer (bit line) in direct contact with the one or more programmed backside vias.
    Type: Application
    Filed: November 10, 2022
    Publication date: May 16, 2024
    Inventors: Albert M. Chu, Junli Wang, Albert M. Young, Brent A. Anderson, Ruilong Xie, Carl Radens
  • Publication number: 20240162229
    Abstract: A microelectronic structure including a first stacked FET device that includes a first bottom FET device and a first upper FET device. The first bottom FET device include a plurality of first bottom channel layers, and the first upper FET device includes a plurality of first upper channel layers. A bottom gate that surrounds the plurality of first bottom channel layers and an upper gate that surrounds the plurality of first upper channel layers. A gate protrusion that extends downwards from the backside of the upper gate to connected to the bottom gate. The gate protrusion partially overlaps with a bottom gate cut region of the first bottom stacked FET device, and the gate protrusion partially overlaps with an upper gate cut region of the first upper stacked FET device.
    Type: Application
    Filed: November 10, 2022
    Publication date: May 16, 2024
    Inventors: Ruilong Xie, Chen Zhang, Albert M. Young, Brent A. Anderson, Kisik Choi, Junli Wang
  • Publication number: 20240162231
    Abstract: Embodiments of the present invention are directed to processing methods and resulting structures for integrated circuits having backside programmable gate arrays. In a non-limiting embodiment, a front end of line structure having an array of transistors is formed such that each transistor of the array of transistors includes one or more placeholder backside vias. A first portion of the backside vias defines one or more placeholder backside vias and a second portion of the one or more backside vias defines one or more programmed backside vias. A back end of line structure is formed on a first surface of the front end of line structure. A backside structure is formed on a second surface of the front end of line structure opposite the first surface. The backside structure includes a backside metallization layer in direct contact with the one or more programmed backside vias.
    Type: Application
    Filed: November 10, 2022
    Publication date: May 16, 2024
    Inventors: Albert M. Chu, Brent A. Anderson, Junli Wang, Albert M. Young, Ruilong Xie, Carl Radens
  • Patent number: 11984401
    Abstract: A semiconductor device including a hybrid contact scheme for stacked FET is disclosed with integration of a BSPDN. A double-sided (both frontside and backside of the wafer) contact scheme with buried power rail (BPR) and backside power distribution network (BSPDN) provides optimum contact and interconnect. The stacked FET could include, for example, FINFET over FINFET, FINFET over nanosheet, or nanosheet over nanosheet.
    Type: Grant
    Filed: June 22, 2021
    Date of Patent: May 14, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Junli Wang, Mukta Ghate Farooq, Dechao Guo
  • Publication number: 20240114699
    Abstract: Semiconductor devices and methods of forming the same include a front-end-of-line (FEOL) layer that includes a first transistor device. A first back-end-of-line (BEOL) layer is on a front side of the FEOL layer and includes a first electrical connection to the first transistor device. A second BEOL layer is on a back side of the FEOL layer and includes a first BEOL device with a second electrical connection to the first transistor device.
    Type: Application
    Filed: September 29, 2022
    Publication date: April 4, 2024
    Inventors: Brent A. Anderson, Theodorus E. Standaert, Junli Wang, Lawrence A. Clevenger, Albert M. Chu, Ruilong Xie
  • Publication number: 20240113021
    Abstract: A first VTFET is provided on a wafer. A second VTFET is adjacent to the first VTFET on the wafer. A backside power deliver network is on a backside of the wafer. A shared frontside contact is on a frontside of the wafer. The shared frontside contact is connected to a first top source/drain region of the first VTFET, a second top source/drain region of the second VTFET, and the backside power delivery network.
    Type: Application
    Filed: September 29, 2022
    Publication date: April 4, 2024
    Inventors: Brent A. Anderson, Albert M. Chu, Ruilong Xie, Junli Wang
  • Publication number: 20240096887
    Abstract: A semiconductor device includes a substrate; a set of first transistors positioned on an upper surface of the substrate, each of the set of first transistors comprising a first gate and a first dielectric; an insulating layer positioned on an upper surface of the set of first transistors; and a set of second transistors positioned over the set of first transistors and with the set of first transistors on an upper surface of the insulating layer, each of the set of second transistors having a second gate and a second dielectric; wherein each of the first dielectrics is connected to a sidewall of each of a corresponding first gate; and wherein each of the second dielectrics is connected to the insulating layer.
    Type: Application
    Filed: September 15, 2022
    Publication date: March 21, 2024
    Inventors: Ruqiang Bao, Dechao Guo, Junli Wang, Heng Wu
  • Publication number: 20240096871
    Abstract: An integrated circuit is presented including a protection diode including a plurality of first gates and a plurality of first source/drain (S/D) contacts and a device under test (DUT) including a plurality of second gates and a plurality of second S/D contacts, the DUT being electrically connected to the protection diode by either at least one gate contact or at least on CA contact or at least one buried power rail (BPR). The protection diode is electrically connected to the DUT by middle-of-line (MOL) layers for gate oxide protection before M1 formation.
    Type: Application
    Filed: September 19, 2022
    Publication date: March 21, 2024
    Inventors: Huimei Zhou, Terence Hook, Junli Wang, Miaomiao Wang
  • Publication number: 20240088277
    Abstract: A semiconductor device is provided. The semiconductor device includes a semiconductor substrate, and a pFET transistor formed on the semiconductor substrate. The pFET transistor includes a plurality of channel regions. An uppermost channel region of the plurality of channel regions includes an uppermost active semiconductor layer and a capping layer formed on the uppermost active semiconductor layer.
    Type: Application
    Filed: September 14, 2022
    Publication date: March 14, 2024
    Inventors: Ruqiang Bao, Brent A. Anderson, Curtis S. Durfee, Gen Tsutsui, Junli Wang
  • Publication number: 20240081037
    Abstract: A field effect transistor (FET) cell structure of an integrated circuit (IC) is provided. The FET cell structure includes first and second adjacent cells. Each of the first and second adjacent cells spans a first layer and a second layer. The second layer is vertically stacked on the first layer. The first cell includes n-doped FETs (NFETs) on one of the first and second layers and p-doped FETs (PFETs) on another of the first and second layers. The second cell includes at least one of a number of NFETs on the one of the first and second layers differing from a number of the NFETs in the first cell and a number of PFETs on the another of the first and second layers differing from a number of the PFETs in the first cell.
    Type: Application
    Filed: September 7, 2022
    Publication date: March 7, 2024
    Inventors: Brent A. Anderson, Albert M. Chu, Junli Wang, Carl Radens, Ruilong Xie
  • Publication number: 20240072116
    Abstract: A semiconductor structure is presented including a first source/drain (S/D) epi region having a first contact completely wrapping around the first S/D epi region, the first contact electrically connected to a backside power delivery network (BSPDN) and a second S/D epi region having a second contact directly contacting a first sidewall, a second sidewall, and a top surface of the second S/D epi region, the second contact electrically connected to back-end-of-line (BEOL) components.
    Type: Application
    Filed: August 31, 2022
    Publication date: February 29, 2024
    Inventors: Ruilong Xie, Kisik Choi, Junli Wang, Julien Frougier, Min Gyu Sung
  • Publication number: 20240063223
    Abstract: An approach forming semiconductor structure composed of a first plurality of vertical transport field-effect transistors in a lower semiconductor layer and a second plurality of vertical transport field-effect transistors in an upper semiconductor layer. The second plurality of vertical transport field-effect transistors is horizontally offset from the first plurality of vertical transport field-effect transistors by a horizontal distance that is one-half of a contacted gate pitch between adjacent vertical transport field-effect transistors in the same semiconductor layer.
    Type: Application
    Filed: August 22, 2022
    Publication date: February 22, 2024
    Inventors: Brent A. Anderson, Hemanth Jagannathan, Junli Wang, Albert M. Chu
  • Publication number: 20240064951
    Abstract: A microelectronic structure including a static random-access memory (SRAM) device that includes a plurality of stacked transistors. Each of the plurality of stacked transistors that includes a bottom transistor and an upper transistor, where the upper transistor is not in vertical alignment with the bottom transistor.
    Type: Application
    Filed: August 18, 2022
    Publication date: February 22, 2024
    Inventors: Albert M. Chu, Carl Radens, Ruilong Xie, Brent A. Anderson, Junli Wang
  • Patent number: 11901440
    Abstract: A semiconductor device containing a self-aligned contact rail is provided. The self-aligned contact rail can have a reduced critical dimension, CD. The self-aligned contact rail can be obtained utilizing a sacrificial semiconductor fin as a placeholder structure for the contact rail. The used of the sacrificial semiconductor fin enables reduced, and more controllable, CDs.
    Type: Grant
    Filed: September 2, 2021
    Date of Patent: February 13, 2024
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Christopher J. Waskiewicz, Su Chen Fan, Brent Anderson, Junli Wang
  • Patent number: 11894361
    Abstract: A semiconductor device is provided. The semiconductor device includes a first field effect device on a first region of a substrate, wherein a first gate structure and an electrostatic discharge device on a second region of the substrate, wherein a second gate structure for the electrostatic discharge device is separated from the substrate by the bottom dielectric layer, and a second source/drain for the electrostatic discharge device is in electrical contact with the substrate, wherein the second source/drain is doped with a second dopant type.
    Type: Grant
    Filed: December 8, 2021
    Date of Patent: February 6, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Julien Frougier, Sagarika Mukesh, Anthony I. Chou, Andrew M. Greene, Ruilong Xie, Veeraraghavan S. Basker, Junli Wang, Effendi Leobandung, Jingyun Zhang, Nicolas Loubet
  • Patent number: 11895818
    Abstract: Embodiments of present invention provide a SRAM device. The SRAM device includes a first, a second, and a third SRAM cell each having a first and a second pass-gate (PG) transistor, wherein the second PG transistor of the second SRAM cell and the first PG transistor of the first SRAM cell are stacked in a first PG transistor cell, and the first PG transistor of the third SRAM cell and the second PG transistor of the first SRAM cell are stacked in a second PG transistor cell. The first and second PG transistors of the first SRAM cell may be stacked on top of, or underneath, the second PG transistor of the second SRAM cell and/or the first PG transistor of the third SRAM cell.
    Type: Grant
    Filed: April 26, 2022
    Date of Patent: February 6, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Carl Radens, Junli Wang, Ravikumar Ramachandran, Julien Frougier, Dechao Guo
  • Patent number: 11894423
    Abstract: Techniques are provided to fabricate semiconductor devices having a nanosheet field-effect transistor device disposed on a semiconductor substrate. The nanosheet field-effect transistor device includes a nanosheet stack structure including a semiconductor channel layer and a source/drain region in contact with an end portion of the semiconductor channel layer of the nanosheet stack structure. A trench formed in the source/drain region is filled with a metal-based material. The metal-based material filling the trench in the source/drain region mitigates the effect of source/drain material overfill on the contact resistance of the semiconductor device.
    Type: Grant
    Filed: February 22, 2022
    Date of Patent: February 6, 2024
    Assignee: International Business Machines Corporation
    Inventors: Heng Wu, Dechao Guo, Ruqiang Bao, Junli Wang, Lan Yu, Reinaldo Vega, Adra Carr