Patents by Inventor Junli Wang

Junli Wang has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240081037
    Abstract: A field effect transistor (FET) cell structure of an integrated circuit (IC) is provided. The FET cell structure includes first and second adjacent cells. Each of the first and second adjacent cells spans a first layer and a second layer. The second layer is vertically stacked on the first layer. The first cell includes n-doped FETs (NFETs) on one of the first and second layers and p-doped FETs (PFETs) on another of the first and second layers. The second cell includes at least one of a number of NFETs on the one of the first and second layers differing from a number of the NFETs in the first cell and a number of PFETs on the another of the first and second layers differing from a number of the PFETs in the first cell.
    Type: Application
    Filed: September 7, 2022
    Publication date: March 7, 2024
    Inventors: Brent A. Anderson, Albert M. Chu, Junli Wang, Carl Radens, Ruilong Xie
  • Publication number: 20240072116
    Abstract: A semiconductor structure is presented including a first source/drain (S/D) epi region having a first contact completely wrapping around the first S/D epi region, the first contact electrically connected to a backside power delivery network (BSPDN) and a second S/D epi region having a second contact directly contacting a first sidewall, a second sidewall, and a top surface of the second S/D epi region, the second contact electrically connected to back-end-of-line (BEOL) components.
    Type: Application
    Filed: August 31, 2022
    Publication date: February 29, 2024
    Inventors: Ruilong Xie, Kisik Choi, Junli Wang, Julien Frougier, Min Gyu Sung
  • Publication number: 20240063223
    Abstract: An approach forming semiconductor structure composed of a first plurality of vertical transport field-effect transistors in a lower semiconductor layer and a second plurality of vertical transport field-effect transistors in an upper semiconductor layer. The second plurality of vertical transport field-effect transistors is horizontally offset from the first plurality of vertical transport field-effect transistors by a horizontal distance that is one-half of a contacted gate pitch between adjacent vertical transport field-effect transistors in the same semiconductor layer.
    Type: Application
    Filed: August 22, 2022
    Publication date: February 22, 2024
    Inventors: Brent A. Anderson, Hemanth Jagannathan, Junli Wang, Albert M. Chu
  • Publication number: 20240064951
    Abstract: A microelectronic structure including a static random-access memory (SRAM) device that includes a plurality of stacked transistors. Each of the plurality of stacked transistors that includes a bottom transistor and an upper transistor, where the upper transistor is not in vertical alignment with the bottom transistor.
    Type: Application
    Filed: August 18, 2022
    Publication date: February 22, 2024
    Inventors: Albert M. Chu, Carl Radens, Ruilong Xie, Brent A. Anderson, Junli Wang
  • Patent number: 11901440
    Abstract: A semiconductor device containing a self-aligned contact rail is provided. The self-aligned contact rail can have a reduced critical dimension, CD. The self-aligned contact rail can be obtained utilizing a sacrificial semiconductor fin as a placeholder structure for the contact rail. The used of the sacrificial semiconductor fin enables reduced, and more controllable, CDs.
    Type: Grant
    Filed: September 2, 2021
    Date of Patent: February 13, 2024
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Christopher J. Waskiewicz, Su Chen Fan, Brent Anderson, Junli Wang
  • Patent number: 11894361
    Abstract: A semiconductor device is provided. The semiconductor device includes a first field effect device on a first region of a substrate, wherein a first gate structure and an electrostatic discharge device on a second region of the substrate, wherein a second gate structure for the electrostatic discharge device is separated from the substrate by the bottom dielectric layer, and a second source/drain for the electrostatic discharge device is in electrical contact with the substrate, wherein the second source/drain is doped with a second dopant type.
    Type: Grant
    Filed: December 8, 2021
    Date of Patent: February 6, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Julien Frougier, Sagarika Mukesh, Anthony I. Chou, Andrew M. Greene, Ruilong Xie, Veeraraghavan S. Basker, Junli Wang, Effendi Leobandung, Jingyun Zhang, Nicolas Loubet
  • Patent number: 11895818
    Abstract: Embodiments of present invention provide a SRAM device. The SRAM device includes a first, a second, and a third SRAM cell each having a first and a second pass-gate (PG) transistor, wherein the second PG transistor of the second SRAM cell and the first PG transistor of the first SRAM cell are stacked in a first PG transistor cell, and the first PG transistor of the third SRAM cell and the second PG transistor of the first SRAM cell are stacked in a second PG transistor cell. The first and second PG transistors of the first SRAM cell may be stacked on top of, or underneath, the second PG transistor of the second SRAM cell and/or the first PG transistor of the third SRAM cell.
    Type: Grant
    Filed: April 26, 2022
    Date of Patent: February 6, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Carl Radens, Junli Wang, Ravikumar Ramachandran, Julien Frougier, Dechao Guo
  • Patent number: 11894423
    Abstract: Techniques are provided to fabricate semiconductor devices having a nanosheet field-effect transistor device disposed on a semiconductor substrate. The nanosheet field-effect transistor device includes a nanosheet stack structure including a semiconductor channel layer and a source/drain region in contact with an end portion of the semiconductor channel layer of the nanosheet stack structure. A trench formed in the source/drain region is filled with a metal-based material. The metal-based material filling the trench in the source/drain region mitigates the effect of source/drain material overfill on the contact resistance of the semiconductor device.
    Type: Grant
    Filed: February 22, 2022
    Date of Patent: February 6, 2024
    Assignee: International Business Machines Corporation
    Inventors: Heng Wu, Dechao Guo, Ruqiang Bao, Junli Wang, Lan Yu, Reinaldo Vega, Adra Carr
  • Publication number: 20240038594
    Abstract: FinFET devices and processes to prevent fin or gate collapse (e.g., flopover) in finFET devices are provided. The method includes forming a first set of trenches in a semiconductor material and filling the first set of trenches with insulator material. The method further includes forming a second set of trenches in the semiconductor material, alternating with the first set of trenches that are filled. The second set of trenches form semiconductor structures which have a dimension of fin structures. The method further includes filling the second set of trenches with insulator material. The method further includes recessing the insulator material within the first set of trenches and the second set of trenches to form the fin structures.
    Type: Application
    Filed: February 28, 2023
    Publication date: February 1, 2024
    Inventors: Veeraraghavan S. Basker, Kangguo Cheng, Theodorus E. Standaert, Junli Wang
  • Patent number: 11887890
    Abstract: Partial self-aligned contact structures are provided. In one aspect, a method of forming a semiconductor device includes: patterning fins in a substrate; forming a gate(s) over the fins, separated from source/drains by first spacers, wherein a lower portion of the gate(s) includes a workfunction-setting metal, and an upper portion of the gate(s) includes a core metal between a metal liner; recessing the metal liner to form divots in the upper portion of the gate(s) in between the first spacers and the core metal; forming second spacers in the divots such that the first spacers and the second spacers surround the core metal in the upper portion of the gate(s); forming lower source/drain contacts in between the first spacers over the source/drains; recessing the lower source/drain contacts to form gaps over the lower source/drain contacts; and forming source/drain caps in the gaps. A semiconductor device is also provided.
    Type: Grant
    Filed: December 17, 2021
    Date of Patent: January 30, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Veeraraghavan Basker, Alexander Reznicek, Junli Wang
  • Patent number: 11875987
    Abstract: A method of increasing the surface area of a contact to an electrical device that in one embodiment includes forming a contact stud extending through an intralevel dielectric layer to a component of the electrical device, and selectively forming a contact region on the contact stud. The selectively formed contact region has an exterior surface defined by a curvature and has a surface area that is greater than a surface area of the contact stud. An interlevel dielectric layer is formed on the intralevel dielectric layer, wherein an interlevel contact extends through the interlevel dielectric layer into direct contact with the selectively formed contact region.
    Type: Grant
    Filed: May 20, 2021
    Date of Patent: January 16, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Lawrence A. Clevenger, Baozhen Li, Kirk D. Peterson, Terry A. Spooner, Junli Wang
  • Publication number: 20240014135
    Abstract: A semiconductor device including a first source/drain region (S/D) located on a frontside of a substrate, wherein the first source/drain region has a first width, a second S/D region located on the frontside of the substrate, wherein the second source/drain region is located above the first source drain region, wherein the second source/drain region has second width, wherein the first width is larger than the second width, a first power rail located on a backside of the substrate, a second power rail located on the backside of the substrate, a first connector in contact with the first source/drain region, wherein the first connector is only in contact with a sidewall of the first source/drain region, and a second connector in contact with the second source/drain region, wherein the second connector is in contact with a top surface and a side surface of the second source/drain region.
    Type: Application
    Filed: July 7, 2022
    Publication date: January 11, 2024
    Inventors: Junli Wang, Albert M. Chu, Albert M. Young, Chen Zhang, Su Chen Fan, Ruilong Xie
  • Publication number: 20240014322
    Abstract: A semiconductor device fabrication method is provided. The semiconductor device fabrication method includes frontside semiconductor device processing on a frontside of a wafer, flipping the wafer, backside semiconductor device processing on a backside of the wafer and backside and frontside contact formation processing on the backside and frontside of the wafer, respectively.
    Type: Application
    Filed: September 25, 2023
    Publication date: January 11, 2024
    Inventors: Sung Dae Suk, Somnath Ghosh, Chen Zhang, Junli Wang, Devendra K. Sadana, Dechao Guo
  • Publication number: 20240006480
    Abstract: A semiconductor structure is presented including a first field effect transistor (FET), the first FET including at least a first set of fins and a second set of fins, the first set of fins surrounded by a first work function metal (WFM) and the second set of fins surrounded by a second WFM and a second FET formed directly over the first FET, the second FET including at least a first nanosheet stack and a second nanosheet stack, the first nanosheet stack surrounded by a third WFM and the second nanosheet stack surrounded by a third WFM with dipoles. The semiconductor structure further includes first contacts disposed from the first and second WFMs of the first FET to back-end-of-line (BEOL) components and second contacts disposed from a backside power delivery network (BSPDN) through the third WFM of the second FET to a top surface of the first and second WFMs of the first FET.
    Type: Application
    Filed: June 29, 2022
    Publication date: January 4, 2024
    Inventors: Ruqiang Bao, Junli Wang, Dechao Guo, Heng Wu
  • Publication number: 20240008242
    Abstract: A semiconductor device is provided that includes at least one stacked FET device including two top transistors stacked over a single bottom transistor. The at least one stacked FET includes a full gate cut structure that is used to separate different device areas from each other, a top gate cut structure that used to separate the two top transistors, and a bottom gate cut structure that is used to provide the single bottom transistor. The at least one FET device can be used to provide a SRAM containing six transistors.
    Type: Application
    Filed: June 30, 2022
    Publication date: January 4, 2024
    Inventors: Ruilong Xie, Carl Radens, Albert M. Chu, Brent A. Anderson, Junli Wang, Julien Frougier, Ravikumar Ramachandran
  • Publication number: 20240006467
    Abstract: A semiconductor structure that includes a nanosheet logic device (i.e., nFET and/or pFET) co-integrated with a precision middle-of-the-line (MOL) resistor is provided. The precision MOL resistor is located over a nanosheet device and is present in at least one resistor device region of a semiconductor substrate. The at least one resistor device region can include a first resistor device region in which the MOL resistor is optimized for low capacitance and/or a second resistor device region in which the MOL resistor is optimized for low self-heating.
    Type: Application
    Filed: June 29, 2022
    Publication date: January 4, 2024
    Inventors: Julien Frougier, Sagarika Mukesh, Anthony I. Chou, Andrew M. Greene, Ruilong Xie, Nicolas Jean Loubet, Veeraraghavan S. Basker, Junli Wang, Effendi Leobandung, Jingyun Zhang
  • Publication number: 20240006313
    Abstract: Provided is a semiconductor device. The semiconductor device comprises a plurality of logic devices. The logic devices have frontside wiring. The semiconductor device further comprises a backside power delivery network (BSPDN). The semiconductor device further comprises a connection between the BSPDN and the bottom of a source/drain epitaxy of a logic device. The connection is self-aligned on at least two sides.
    Type: Application
    Filed: June 29, 2022
    Publication date: January 4, 2024
    Inventors: Brent A. Anderson, Ruilong Xie, Junli Wang, Albert M. Chu
  • Patent number: 11862710
    Abstract: A semiconductor device includes a first source/drain region on an upper surface of a semiconductor substrate that extends along a first direction to define a length and a second direction opposite the first direction to define a width. A channel region extends vertically in a direction perpendicular to the first and second directions from a first end contacting the first source/drain region to an opposing second end contacting a second source/drain region. A gate surrounds a channel portion of the channel region, and a first doped source/drain extension region is located between the first source/drain region and the channel portion. The first doped source/drain extension region has a thickness extending along the vertical direction. A second doped source/drain extension region is located between the second source/drain region and the channel portion. The second doped source/drain extension region has a thickness extending along the vertical direction that matches the first thickness.
    Type: Grant
    Filed: January 6, 2022
    Date of Patent: January 2, 2024
    Assignee: International Business Machines Corporation
    Inventors: Chun-Chen Yeh, Alexander Reznicek, Veeraraghavan Basker, Junli Wang
  • Publication number: 20230420303
    Abstract: A semiconductor structure including a reliable power rail in stacked field effect transistor technology with unequal device footprints is provided that mitigates, and in some cases even eliminates, shorting risks that are typically associated using long bars in advanced logic applications.
    Type: Application
    Filed: June 22, 2022
    Publication date: December 28, 2023
    Inventors: Albert M. Young, Albert M. Chu, Junli Wang
  • Publication number: 20230420503
    Abstract: A stacked semiconductor structure including a top transistor stacked above a bottom transistor, and a single gate contact in electrical contact with a top gate conductor of the top transistor and a bottom gate conductor of the bottom transistor.
    Type: Application
    Filed: June 24, 2022
    Publication date: December 28, 2023
    Inventors: Su Chen Fan, Stuart Sieg, Xuan Liu, Junli Wang