Patents by Inventor Junli Wang

Junli Wang has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20230420502
    Abstract: A field effect transistor (“FET”) stack, including a lower FET, and an upper FET, a first contact to a lower source drain of the lower FET, a first silicide between the first contact and the lower source drain, the first contact is adjacent to a vertical side surface of the lower source drain, a first overlap region between the first silicide and the first contact is less than a second overlap region between the first silicide and the first source drain. The first contact has a reverse tapper metal stud profile. Forming a first contact to a lower source drain of a lower FET of an FET stack, forming a first silicide between the first contact and the lower source drain, the first contact is adjacent to a vertical side surface of the lower source drain.
    Type: Application
    Filed: June 22, 2022
    Publication date: December 28, 2023
    Inventors: Heng Wu, Junli Wang, Ruilong Xie, Albert M. Young, Albert M. Chu, Brent A. Anderson, Ravikumar Ramachandran
  • Publication number: 20230420359
    Abstract: A semiconductor device is provided. The semiconductor device includes a field effect transistor (FET) including first and second source/drain (S/D) epitaxial regions. The semiconductor device also includes a gate cut region at cell boundaries between the first and second S/D epitaxial regions, a dielectric liner and a dielectric core formed in the gate cut region, and a backside power rail (BPR) and a backside power distribution network (BSPDN). The semiconductor device also includes a power via passing through the dielectric core and connecting to the BPR and BSPDN, first metal contacts formed in contact with the first and second S/D epitaxial regions, and a via to backside power rail (VBPR) contact. The dielectric liner separates the power via from the first S/D epitaxial region.
    Type: Application
    Filed: June 22, 2022
    Publication date: December 28, 2023
    Inventors: Ruilong Xie, Junli Wang, Kisik Choi, Julien Frougier, Reinaldo Vega, Lawrence A. Clevenger, Albert M. Chu, Brent A. Anderson
  • Patent number: 11855191
    Abstract: An apparatus includes a fin, a gate, and a gate contact. A portion of the fin is disposed in a first layer. The gate is disposed in the first layer and adjacent to the fin. The gate contact is disposed on the gate and in a second layer, wherein the second layer is disposed on the first layer such that the gate contact is above the fin.
    Type: Grant
    Filed: October 6, 2021
    Date of Patent: December 26, 2023
    Assignee: International Business Machines Corporation
    Inventors: Brent Anderson, Junli Wang, Indira Seshadri, Chen Zhang, Ruilong Xie, Joshua M. Rubin, Hemanth Jagannathan
  • Patent number: 11852582
    Abstract: An automatic photocurrent spectrum measurement system based on a Fourier infrared spectrometer, including a light source component, an environment control component, a measuring module, and a control module. The system is configured to evaluate photoelectric performance semiconductor materials or devices under different temperatures, voltage biases or current biases.
    Type: Grant
    Filed: August 2, 2022
    Date of Patent: December 26, 2023
    Assignee: East China Normal University
    Inventors: Liangqing Zhu, Junli Wang, Liyan Shang, Le Wang, Zhigao Hu
  • Publication number: 20230411466
    Abstract: A first source drain region adjacent to a first transistor, a second source drain region adjacent to a second transistor, an upper source drain contact above the first source drain region, a bottom source drain contact below the second source drain region, the bottom and the upper source drain contacts are on opposite sides, a horizontal surface of the bottom source drain contact is adjacent to a horizontal surface of dielectric side spacers surrounding the second source drain region. An embodiment where a bottom source drain contact surrounds vertical sides of a source drain region. A method including forming a forming a first and a second nanosheet stacks, forming a top source drain contact to a first source drain region adjacent to the first nanosheet stack, forming a bottom source drain contact to a lower horizontal surface of a second source drain region adjacent to the second nanosheet stack.
    Type: Application
    Filed: May 24, 2022
    Publication date: December 21, 2023
    Inventors: Ruilong Xie, Kisik Choi, Junli Wang, SOMNATH GHOSH, Julien Frougier, Min Gyu Sung, Theodorus E. Standaert, Nicolas Jean Loubet, Huiming Bu
  • Publication number: 20230411293
    Abstract: A semiconductor device includes a plurality of field effect transistors (FET) formed upon semiconductor fins. Each FET includes a gate disposed transversely upon a first portion of the fins of the FET, one or more source/drain regions disposed upon the fins and in contact with the gate, and an electrically isolating layer disposed adjacent to a second portion of the fins above the gate and the source/drain regions, the electrically isolating layer having an interface with the gate. The device further includes a buried power rail (BPR) disposed between otherwise adjacent FETs. The BPR includes a metal rail extending beyond the interface into the gate, and electrically isolating sidewalls separating the metal rail from the gate and the source/drain regions. The device also includes a via-buried power rail contact disposed adjacent to the electrically isolating sidewalls, in contact with the metal rail, and in contact with one source/drain region.
    Type: Application
    Filed: June 15, 2022
    Publication date: December 21, 2023
    Inventors: Ruilong Xie, Junli Wang, Julien Frougier, Dechao Guo, Lawrence A. Clevenger
  • Publication number: 20230411386
    Abstract: A microelectronic structure including a plurality of lower transistors and a plurality of upper transistors, where channels of the upper transistors are staggered from channels of the lower transistors. A lower dielectric pillar located beneath an upper transistor, where the dielectric pillar separates bottom transistors.
    Type: Application
    Filed: June 20, 2022
    Publication date: December 21, 2023
    Inventors: Ruilong Xie, Albert M. Chu, Junli Wang, Brent A. Anderson, Anthony I. Chou, Dechao Guo
  • Publication number: 20230411289
    Abstract: A first and a second source drain region, an upper source drain contact connected to the first source drain region, a bottom source drain contact connected to the second source drain region, a dielectric spacer surrounds opposite vertical side surfaces of the bottom source drain contact and overlaps a vertical side surface and a lower horizontal surface of a bottom isolation region. A width of the bottom source drain contact wider than a width of the second source drain. Forming an undoped silicon buffer epitaxy in an opening between and below a first and a second nanosheet stack, forming a contact to a first source drain adjacent to that, removing the undoped silicon buffer epitaxy below a second source drain between the first and the second nanosheet stack, forming a bottom contact to that, a width of the bottom contact is wider than a width of the second source drain.
    Type: Application
    Filed: May 24, 2022
    Publication date: December 21, 2023
    Inventors: Ruilong Xie, Kisik Choi, Junli Wang, Somnath Ghosh, Julien Frougier, Min Gyu Sung, Theodorus E. Standaert, Nicolas Jean Loubet, Huiming Bu
  • Publication number: 20230411394
    Abstract: The semiconductor device includes a first cell row, a first power rail and a second power rail. The first cell row includes a first plurality of cells. The first power rail extends from a first side of the first cell row. The first power rail connects to a first group of the first plurality of cells. The second power rail extends form a second side of the first cell row. The second power rail connects to a second group of the first plurality of cells.
    Type: Application
    Filed: June 21, 2022
    Publication date: December 21, 2023
    Inventors: Albert M. Chu, Vidhi Zalani, Junli Wang
  • Publication number: 20230411358
    Abstract: A microelectronic structure including a plurality of lower transistors and a plurality of upper transistor, where each of the plurality of lower transistors and the plurality of upper transistors includes a plurality of channel. Where an upper center vertical axis of each of the plurality of upper transistors is staggered from a lower center vertical axis of each of the lower transistors. A lower gate cut is located between each of the plurality of lower transistors. A first upper gate cut located adjacent to a first upper transistor of the plurality of upper transistors, where the first upper gate cut is in direct contact with a plurality of first channels of the first upper transistor.
    Type: Application
    Filed: June 20, 2022
    Publication date: December 21, 2023
    Inventors: Ruilong Xie, Albert M. Chu, Albert M. Young, Brent A. Anderson, Junli Wang, Ravikumar Ramachandran
  • Publication number: 20230411212
    Abstract: A semiconductor device is provided. The semiconductor device includes an interlayer dielectric layer; and a plurality of metal contacts formed in the interlayer dielectric layer. The plurality of metal contacts include a plurality of shallow metal contacts having a first depth, and a plurality of deep metal contacts having a second depth that is greater than the first depth, wherein a first one of the shallow metal contacts overlaps and directly contacts a first one of the deep metal contacts, and wherein the plurality of metal contacts have an equal spacing therebetween.
    Type: Application
    Filed: June 15, 2022
    Publication date: December 21, 2023
    Inventors: Su Chen Fan, Stuart Sieg, Dominik Metzler, Indira Seshadri, Junli Wang
  • Publication number: 20230411290
    Abstract: A semiconductor structure includes a front-end-of-line level including a plurality of field effect transistors electrically connected to a back-end-of-line interconnect level located on a first side of the front-end-of-line level. A plurality of shallow trench isolation regions are located between adjacent field effect transistors, each of the plurality of shallow trench isolation regions being surrounded by a dielectric isolation liner. A backside power rail is located within a backside interlayer dielectric located on a second side of the front-end-of-line level opposing the first side of the front-end-of-line level. A via-to-backside power rail embedded, at least in part, within a shallow trench isolation region is located between two field effect transistors of a similar polarity, the via-to-backside power rail is adjacent and electrically connected to at least one metal contact and extends from the at least one metal contact to a first surface of the backside power rail.
    Type: Application
    Filed: May 24, 2022
    Publication date: December 21, 2023
    Inventors: Ruilong Xie, Kisik Choi, Junli Wang, Tenko Yamashita
  • Publication number: 20230387295
    Abstract: A semiconductor device is provided. The semiconductor device includes a buried power rail, a buried oxide (BOX) layer formed on the buried power rail, a plurality of channel fins formed on the BOX layer, a bottom epitaxial layer formed on the BOX layer and between the channel fins such that the BOX layer is between the buried power rail and the bottom epitaxial layer, a gate stack formed over the bottom epitaxial layer and contacting the channel fins, the gate stack including a work function metal (WFM) layer and a high-x layer, and a top epitaxial layer formed on the gate stack. In the semiconductor device, between two adjacent ones of the channel fins the BOX layer has an opening so that the bottom epitaxial layer is electrically connected to the buried power rail.
    Type: Application
    Filed: August 10, 2023
    Publication date: November 30, 2023
    Inventors: Chen Zhang, Ruilong Xie, Heng Wu, Junli Wang, Brent A. Anderson
  • Patent number: 11830774
    Abstract: Embodiments of the present invention are directed to fabrication methods and resulting structures that provide buried contacts in the fin-to-fin space of vertical transport field effect transistors (VFETs) that connect the bottom S/D of the transistors to a buried power rail. In a non-limiting embodiment of the invention, a buried power rail is encapsulated in a buried oxide layer of a first wafer. First and second semiconductor fins are formed on a second wafer. The first wafer to the second wafer and a surface of the buried power rail in a fin-to-fin space is exposed. A buried via is formed on the exposed surface of the buried power rail. The buried via electrically couples the buried power rail to a bottom source or drain region of the first semiconductor fin.
    Type: Grant
    Filed: September 23, 2021
    Date of Patent: November 28, 2023
    Assignee: International Business Machines Corporation
    Inventors: Junli Wang, Brent Anderson
  • Publication number: 20230378258
    Abstract: A method including forming an oxide layer on a first substrate and forming a second substrate on the oxide layer. Doping a first section of the second substrate while not doping a second section of the second substrate. Forming a first nano device on the second section of the second substrate and forming a second nano device on first section of the second substrate. Flipping the first substrate over to allow for backside processing of the substrate and forming at least one backside contact connected to the first nano device while backside contacts are not formed or connected to the second nano device.
    Type: Application
    Filed: May 17, 2022
    Publication date: November 23, 2023
    Inventors: Ruilong Xie, Anthony I. Chou, Brent A. Anderson, John Christopher Arnold, Junli Wang, Kai Zhao, Terence Hook, Julien Frougier, Xuefeng Liu
  • Publication number: 20230369394
    Abstract: Embodiments of present invention provide a method of forming a nanosheet transistor structure. The method includes forming a nanosheet stack on a substrate, the nanosheet stack having a set of nanosheets separated by a set of sacrificial sheets; forming a vertical dielectric pillar separated from the nanosheet stack; forming a dielectric liner lining the nanosheet stack and the vertical dielectric pillar; forming a set of inner spacers between the set of nanosheets; forming a side spacer between the set of inner spacers and the vertical dielectric pillar, the side spacer being surrounded by the dielectric liner at least at a left side between the set of inner spacers and the side spacer and at a right side between the side spacer and the vertical dielectric pillar; and forming a replacement gate stack surrounding the set of nanosheets. A structure formed thereby is also provided.
    Type: Application
    Filed: May 11, 2022
    Publication date: November 16, 2023
    Inventors: Ruilong Xie, Julien Frougier, Andrew M. Greene, Junli Wang, Nicolas Jean Loubet
  • Patent number: 11817501
    Abstract: A semiconductor device fabrication method is provided. The semiconductor device fabrication method includes frontside semiconductor device processing on a frontside of a wafer, flipping the wafer, backside semiconductor device processing on a backside of the wafer and backside and frontside contact formation processing on the backside and frontside of the wafer, respectively.
    Type: Grant
    Filed: September 22, 2021
    Date of Patent: November 14, 2023
    Assignee: International Business Machines Corporation
    Inventors: Sung Dae Suk, Somnath Ghosh, Chen Zhang, Junli Wang, Devendra K. Sadana, Dechao Guo
  • Patent number: 11817497
    Abstract: Embodiments of the invention include a vertical field-effect transistor (VTFET) inverter. The VTFET inverter may include a p-channel field-effect transistor (P-FET) with a P-FET top source/drain and a P-FET bottom source/drain. The VTFET inverter may also include an n-channel field-effect transistor (N-FET) comprising an N-FET top source/drain and a N-FET bottom source/drain. The VTFET inverter may also include a buried contact located at a boundary between the P-FET bottom source/drain and the N-FET bottom source/drain. The VTFET inverter may also include a Vout contact electrically connected to one of the P-FET bottom source/drain and the N-FET bottom source/drain.
    Type: Grant
    Filed: August 25, 2021
    Date of Patent: November 14, 2023
    Assignee: International Business Machines Corporation
    Inventors: Junli Wang, Ruilong Xie, Alexander Reznicek, Chen Zhang
  • Publication number: 20230360971
    Abstract: Embodiments of present invention provide a transistor structure. The transistor structure includes a first and a second transistor in a first transistor layer; a first and a second transistor in a second transistor layer, respectively, above the first and the second transistor in the first transistor layer; a metal routing layer between the first transistor layer and the second transistor layer; a first local interconnect connecting the first transistor of the first transistor layer to the metal routing layer; and a second local interconnect connecting the metal routing layer to the second transistor of the second transistor layer. A method of manufacturing the transistor structure is also provided.
    Type: Application
    Filed: May 9, 2022
    Publication date: November 9, 2023
    Inventors: Heng Wu, Ruilong Xie, Albert M. Chu, Albert M. Young, Junli Wang, Brent A. Anderson
  • Publication number: 20230343821
    Abstract: A semiconductor device including a first pair of stacked transistors comprising a first upper transistor and a first lower transistor, a third transistor disposed adjacent to the first lower transistor, the third transistor comprising a gate portion extending from the third transistor gate toward the first pair of stacked transistors, a cross-connection disposed in contact with the gate portion and extending upward, and a gate contact disposed in contact with the cross-connection and a top surface of the first upper transistor.
    Type: Application
    Filed: April 25, 2022
    Publication date: October 26, 2023
    Inventors: Ruilong Xie, Heng Wu, Albert M. Young, Albert M Chu, Junli Wang, Brent A Anderson