Patents by Inventor Junli Wang

Junli Wang has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20230215949
    Abstract: A semiconductor device includes a FinFET fin. The same FinFET fin is associated with a bottom FinFET and a top FinFET. The FinFET fin includes a lower channel portion, associated with the bottom FinFET, a top channel portion, associated with the top FinFET, and a channel isolator between the bottom channel portion and the top channel portion. A lower gate includes a vertical portion that is upon a sidewall of the bottom channel portion. An isolation layer may be formed upon the lower gate if it is desired for the top FinFET fin and the bottom FinFET fin to not share a gate. An upper gate is upon the top channel portion and is further upon the isolation layer, if present, or is upon the lower gate.
    Type: Application
    Filed: January 6, 2022
    Publication date: July 6, 2023
    Inventors: Chen Zhang, Junli Wang, Ruilong Xie, Dechao Guo, Sung Dae Suk
  • Patent number: 11695038
    Abstract: A method of forming a semiconductor structure includes forming a plurality of fins over a substrate, at least a portion of one or more of the fins providing one or more channels for one or more fin field-effect transistors. The method also includes forming a plurality of active gate structures over the fins, forming at least one single diffusion break trench between a first one of the active gate structures and a second one of the active gate structures, and forming at least one double diffusion break trench between a third one of the active gate structures and a fourth one of the active gate structures. The double diffusion break trench has a stepped height profile in the substrate, the stepped height profile comprising a first depth with a first width and a second depth less than the first depth with a second width greater than the first width.
    Type: Grant
    Filed: October 20, 2021
    Date of Patent: July 4, 2023
    Assignee: International Business Machines Corporation
    Inventors: Juntao Li, Kangguo Cheng, Ruilong Xie, Junli Wang
  • Publication number: 20230207697
    Abstract: A channel fin extends vertically above a bottom source/drain region, a protective liner is positioned along opposite sidewalls of the bottom source/drain region. The bottom source/drain region is positioned above a semiconductor layer in contact with a first portion of an inner spacer. A first metal layer is positioned between the first portion of the inner spacer and a second portion of the inner spacer, the first portion of the inner spacer partially covers a top surface of the first metal layer and the second portion of the inner spacer substantially covers a bottom surface of the first metal layer for providing a buried power rail. A shallow trench isolation region is positioned above an exposed portion of the first metal layer, the shallow trench isolation region is adjacent to the first portion of the inner spacer, the semiconductor layer, and the bottom source/drain region.
    Type: Application
    Filed: December 29, 2021
    Publication date: June 29, 2023
    Inventors: Ruilong Xie, Junli Wang, Brent A. Anderson, Chen Zhang, Heng Wu, Alexander Reznicek
  • Patent number: 11688635
    Abstract: Embodiments of the invention are directed to an integrated circuit. A non-limiting example of the integrated circuit includes a transistor formed over a substrate. A dielectric region is formed over the transistor and the substrate. A trench is positioned in the dielectric region and over a S/D region of the transistor. A first liner and a conductive plug are within the trench such that the first liner and the conductive plug are only present within a bottom portion of the trench. A substantially oxygen-free replacement liner and a S/D contact are within the top portion of the trench such that a bottom contact surface of the S/D contact directly couples to a top surface of the conductive plug.
    Type: Grant
    Filed: December 23, 2020
    Date of Patent: June 27, 2023
    Assignee: International Business Machines Corporation
    Inventors: Heng Wu, Dechao Guo, Junli Wang, Ruqiang Bao
  • Publication number: 20230197721
    Abstract: Embodiments of the present invention are directed to processing methods and resulting structures that leverage wafer bonding techniques to provide stacked field effect transistors (SFETs) with high-quality N/P junction isolation. In a non-limiting embodiment of the invention, a first semiconductor structure is formed on a first wafer and a second semiconductor structure is formed on a second wafer. The first wafer is positioned with respect to the second wafer such that a top surface of the first semiconductor structure is directly facing a top surface of the second semiconductor structure. A bonding layer is formed between the top surface of the first semiconductor structure and the top surface of the second semiconductor structure and the first wafer is bonded to the second wafer at a first temperature. The device is annealed at a second temperature to cure the bonding layer. The anneal temperature is greater than the bonding temperature.
    Type: Application
    Filed: December 17, 2021
    Publication date: June 22, 2023
    Inventors: Ruqiang Bao, Michael P. Belyansky, Dechao Guo, Junli Wang
  • Publication number: 20230197781
    Abstract: Techniques for dielectric isolation in bulk nanosheet devices are provided. In one aspect, a method of forming a nanosheet device structure with dielectric isolation includes the steps of: optionally implanting at least one dopant into a top portion of a bulk semiconductor wafer, wherein the at least one dopant is configured to increase an oxidation rate of the top portion of the bulk semiconductor wafer; forming a plurality of nanosheets as a stack on the bulk semiconductor wafer; patterning the nanosheets to form one or more nanowire stacks and one or more trenches between the nanowire stacks; forming spacers covering sidewalls of the nanowire stacks; and oxidizing the top portion of the bulk semiconductor wafer through the trenches, wherein the oxidizing step forms a dielectric isolation region in the top portion of the bulk semiconductor wafer. A nanowire FET and method for formation thereof are also provided.
    Type: Application
    Filed: August 22, 2022
    Publication date: June 22, 2023
    Inventors: Kangguo Cheng, Bruce B. Doris, Junli Wang
  • Publication number: 20230197526
    Abstract: Embodiments of the present disclosure provide a semiconductor structure including a first sidewall spacer positioned between a first gate terminal and a first source/drain terminal of a first active device. The first sidewall spacer includes a first L-shaped spacer and a first outer spacer. The L-shaped spacer having a base portion and a vertical portion vertically extended, parallel to the first outer spacer, to a top portion of a first inter dielectric layer (IDL). A RDB dielectric, having a reduced width less than a width of the first gate terminal. The RDB dielectric vertically extends from the top portion of the IDL into the substrate. The RDB dielectric is separated from the first source/drain terminal by first RDB spacer, the first RDB spacer includes a first upper spacer. The first RDB spacer has a reduced width less that the first sidewall spacer width.
    Type: Application
    Filed: December 22, 2021
    Publication date: June 22, 2023
    Inventors: Ruilong Xie, Veeraraghavan S. Basker, Kangguo Cheng, Junli Wang
  • Publication number: 20230197503
    Abstract: Embodiments herein describe semiconductor devices with single diffusion breaks that are narrower than the gates of transistors in those devices. That is, rather than forming the diffusion breaks using a dummy gate (which would result in the diffusion breaks having the same width as the gates of the transistors) the embodiments herein use different means to establish the width of the diffusion break. As a result, the diffusion break can be narrower than traditional diffusion breaks formed using dummy gates, thereby saving area in the semiconductor device.
    Type: Application
    Filed: December 20, 2021
    Publication date: June 22, 2023
    Inventors: Ruilong XIE, Veeraraghavan S. BASKER, Kangguo CHENG, Junli WANG
  • Publication number: 20230187541
    Abstract: The embodiments herein describe a crossbar VFET where the crossbar channel (or fin) that extends between a pair of channels (fins) has reduced corner rounding, or no corner rounding. This can be achieved by developing a masking feature before etching the channels in the VFET that results in reduced, or no corner rounding in the channel structure etched using the masking feature.
    Type: Application
    Filed: December 15, 2021
    Publication date: June 15, 2023
    Inventors: Brent A. ANDERSON, Junli WANG, Indira SESHADRI, Ruilong XIE, Dechao GUO
  • Publication number: 20230187491
    Abstract: A field effect device is provided. The field effect device includes a lower active gate structure on a substrate, and a first lower source/drain on a first side of the lower active gate structure. The field effect device further includes a second lower source/drain on a second side of the lower active gate structure opposite the first side, and a first lower source/drain contact interface on the first lower source/drain. The field effect device further includes a first upper source/drain on the first side of an upper active gate structure, and a second upper source/drain on the second side of the upper active gate structure opposite the first side. The field effect device further a shared source/drain contact forming an electrical connection between the first lower source/drain and the first upper source/drain; and a lower source/drain contact forming an electrical connection to the second lower source/drain.
    Type: Application
    Filed: December 10, 2021
    Publication date: June 15, 2023
    Inventors: Junli Wang, Su Chen Fan, RUQIANG BAO, Albert M. Young
  • Publication number: 20230178549
    Abstract: Stacked field effect transistors are provided such having a first power rail; a second power rail; a first Field Effect Transistor (FET) having a first gate connected to the first power rail; a second FET having a second gate connected to the second power rail; and an insulator separating the first FET from the second FET, wherein the first power rail, the second power rail, the first FET, and the second FET are aligned on a shared axis, and wherein the first power rail and the second power rail are located on opposite sides of the device.
    Type: Application
    Filed: December 8, 2021
    Publication date: June 8, 2023
    Inventors: Sung Dae SUK, Timothy Mathew PHILIP, Junli WANG, Dechao GUO, Chen ZHANG
  • Publication number: 20230178553
    Abstract: A semiconductor structure is provided that includes a first FET device stacked over a second FET device, wherein the first FET device contains a first functional gate structure containing a first work function metal and the second FET device contains a second functional gate structure containing a second work function metal. In the structure, the first work function metal is absent from an area including the second work function metal, and vice versa. Thus, no shared work functional metal is present in the semiconductor structure.
    Type: Application
    Filed: December 8, 2021
    Publication date: June 8, 2023
    Inventors: Ruilong Xie, Julien Frougier, Junli Wang, Dechao Guo, Ruqiang Bao, Rishikesh Krishnan, Balasubramanian S. Pranatharthiharan
  • Publication number: 20230178619
    Abstract: A semiconductor structure includes a first transistor device comprising a plurality of channel regions. The semiconductor structure further includes a second transistor device comprising a plurality of channel regions. The first transistor device and the second transistor device are disposed in a stacked configuration. The plurality of channel regions of the first transistor device are disposed in a staggered configuration relative to the plurality of channel regions of the second transistor device.
    Type: Application
    Filed: December 3, 2021
    Publication date: June 8, 2023
    Inventors: Albert Chu, Junli Wang, Albert M. Young, Vidhi Zalani, Dechao Guo
  • Publication number: 20230178539
    Abstract: A semiconductor device is provided. The semiconductor device includes a first field effect device on a first region of a substrate, wherein a first gate structure and an electrostatic discharge device on a second region of the substrate, wherein a second gate structure for the electrostatic discharge device is separated from the substrate by the bottom dielectric layer, and a second source/drain for the electrostatic discharge device is in electrical contact with the substrate, wherein the second source/drain is doped with a second dopant type.
    Type: Application
    Filed: December 8, 2021
    Publication date: June 8, 2023
    Inventors: Julien Frougier, Sagarika Mukesh, Anthony I. Chou, Andrew M. Greene, Ruilong Xie, Veeraraghavan S. Basker, Junli Wang, Effendi Leobandung, Jingyun Zhang, Nicolas Loubet
  • Patent number: 11670580
    Abstract: Structures are provided that include a metal-insulator-metal capacitor (MIMCAP) present in the back-end-of-the-line (BEOL). The MIMCAP includes at least one of the bottom electrode and the top electrode having a via portion and a base portion that is formed utilizing a subtractive via etch process. Less via over etching occurs resulting in improved critical dimension control of the bottom and/or top electrodes that are formed by the subtractive via etch process. No bottom liner is present in the MIMCAP thus improving the resistance/capacitance of the device. Also, and in some embodiments, a reduced foot-print area is possible to bring the via portion of the bottom electrode closer to the top electrode.
    Type: Grant
    Filed: August 30, 2021
    Date of Patent: June 6, 2023
    Assignee: International Business Machines Corporation
    Inventors: Yann Mignot, Hsueh-Chung Chen, Junli Wang, Mary Claire Silvestre, Chi-Chun Liu
  • Publication number: 20230170352
    Abstract: A semiconductor structure including vertically stacked nFETs and pFETs containing suspended semiconductor channel material nanosheets (NS) and a method of forming such a structure. The structure is a three dimensional (3D) integration by vertically stacking nFETs and pFETs for area scaling. In an embodiment, vertically-stacked NS FET structures include a first nanosheet transistor located above a second nanosheet transistor; the first nanosheet transistor including a first NS channel material, wherein the first NS channel material includes a first crystalline orientation; the second nanosheet transistor including a second NS channel material, wherein the second NS channel material comprises a second crystalline orientation, the first crystalline orientation is different from the second crystalline orientation. In an embodiment, each of the respective formed vertically-stacked NS FET structures include respective suspended stack of nanosheet channels that are self-aligned with each other.
    Type: Application
    Filed: November 30, 2021
    Publication date: June 1, 2023
    Inventors: RUQIANG BAO, JUNLI WANG, DECHAO GUO
  • Patent number: 11665877
    Abstract: A compact SRAM design in a stacked architecture is provided. Notably, a 6-transistor SRAM bite cell including a bottom device level containing bottom field effect transistors and a top device level, stacked above the bottom device level, containing top field effect transistors of a different conductivity type than the bottom field effect transistors is provided.
    Type: Grant
    Filed: December 29, 2021
    Date of Patent: May 30, 2023
    Assignee: International Business Machines Corporation
    Inventors: Chen Zhang, Ruilong Xie, Junli Wang, Dechao Guo
  • Patent number: 11664455
    Abstract: A method of forming a vertical transport fin field effect transistor device is provided. The method includes replacing a portion of a sacrificial exclusion layer between one or more vertical fins and a substrate with a temporary inner spacer. The method further includes removing a portion of a fin layer and the sacrificial exclusion layer between the one or more vertical fins and the substrate, and forming a bottom source/drain on the temporary inner spacer and between the one or more vertical fins and the substrate. The method further includes replacing a portion of the bottom source/drain with a temporary gap filler, and replacing the temporary gap filler and temporary inner spacer with a wrap-around source/drain contact having an L-shaped cross-section.
    Type: Grant
    Filed: January 25, 2022
    Date of Patent: May 30, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Junli Wang, Alexander Reznicek, Ruilong Xie, Bruce B. Doris
  • Patent number: 11658116
    Abstract: A semiconductor structure comprises a front-end-of-line region comprising two or more devices, a first back-end-of-line region on a first side of the front-end-of-line region, the first back-end-of-line region comprising a first set of interconnects for at least a first subset of the two or more devices in the front-end-of-line region, and a second back-end-of-line region on a second side of the front-end-of-line region opposite the first side of the front-end-of-line region, the second back-end-of-line region comprising a second set of interconnects for at least a second subset of the two or more devices in the front-end-of-line region. The semiconductor structure also comprises one or more passthrough vias disposed in the front-end-of-line region, each of the one or more passthrough vias connecting at least one of the first set of interconnects of the first back-end-of-line region to at least one of the second set of interconnects of the second back-end-of-line region.
    Type: Grant
    Filed: March 2, 2021
    Date of Patent: May 23, 2023
    Assignee: International Business Machines Corporation
    Inventors: Junli Wang, Albert Chu, Dechao Guo, Brent Anderson
  • Publication number: 20230154996
    Abstract: A device includes a base layer structure including a first region and a second region; a first bottom gate material in a plurality of first-type doped regions in the first and second regions; a second bottom gate material in a second-type doped regions in the first and second regions; first nanosheet gate-all-round device structures on the first bottom gate material; and second nanosheet gate-all-round device structures on the second bottom gate material, wherein the first bottom gate material is located over the second nanosheet gate-all-around device structures in the second-type doped regions of the first and second regions, wherein the second bottom gate material extends, in boundary regions between the first-type and second-type doped regions, on the base layer structure from the second nanosheet gate-all-around devices structures toward the first gate-all-round device structures.
    Type: Application
    Filed: November 17, 2021
    Publication date: May 18, 2023
    Inventors: RUQIANG BAO, Jing Guo, Junli Wang, Dechao Guo