METHOD AND APPARATUS FOR CONTROLLING RATE OF PRESSURE CHANGE IN A VACUUM PROCESS CHAMBER

A method, apparatus and system for controlling a rate of pressure change in a vacuum process chamber during pump down and vent up cycles of a vacuum process are provided. The method includes sensing the pressure in the process chamber, and then controlling the rate of pressure change to achieve a desired rate for a particular vacuum process. For a pump down cycle, the apparatus can include a control valve in flow communication with the process chamber and with an evacuation pump. For a vent up cycle, the apparatus can include a control valve in flow communication with the process chamber and with an inert gas supply. With either embodiment controllers can be programmed to adjust positions of the control valves based upon feedback from pressure sensors. The system can include multiple chambers each having an associated pump down and vent up control apparatus configured to match the rates of pressure change between chambers.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
FIELD OF THE INVENTION

[0001] This invention relates generally to vacuum processes, such as dry etching and chemical vapor deposition particularly for semiconductor manufacture. More specifically, this invention relates to a method and apparatus for controlling a rate of pressure change in a vacuum process chamber during pump down and vent up cycles of a vacuum process.

BACKGROUND OF THE INVENTION

[0002] Various etching and deposition processes for semiconductor manufacture are performed in vacuum process chambers. For example, dry etching and chemical vapor deposition (CVD) processes utilize vacuum process chambers. Conventional dry etching processes include plasma etching and reactive ion etching (RIE). Conventional chemical vapor deposition processes include plasma enhanced chemical vapor deposition (PECVD) and low pressure chemical vapor deposition (LPCVD).

[0003] During these processes the process chamber can be evacuated from an initial pressure to an operating pressure. For example, the process chamber may initially be at atmospheric pressure for loading wafers, then evacuated to an operational pressure in the milli-torr range. The initial evacuation cycle for a process is sometimes referred to as a “pump down cycle”. Typically, a pump down cycle is accomplished using a vacuum pump in flow communication with the process chamber.

[0004] Subsequently, the pressure in the process chamber can be increased from the operating pressure back to the initial pressure (e.g., back to atmospheric pressure). The subsequent pressurization cycle is sometimes referred to as a “vent up cycle”. Typically, a vent up cycle is accomplished by injecting an inert gas into the process chamber to a desired pressure.

[0005] Recently, etching and deposition systems having more than one vacuum process chamber have been employed for semiconductor manufacture. These multi-chamber systems improve production rates and provide increased efficiency over single chamber systems. An example of a multi-chambered etching or deposition system is sold under the trademark “APPLIED MATERIALS 5000”, by Applied Materials, Inc., of Santa Clara, Calif.

[0006] Such a multi chambered system can include a wafer handler, a load lock chamber and multiple process chambers. The wafer handler can include cassettes for holding the wafers and cassette ports for loading the wafers. During an etching or deposition process, the wafers can be moved from the load lock chamber and into or out of the process chambers as required. The process chambers can be pumped down and vented up to different pressures during various cycles of the process.

[0007] One limitation of multi chamber systems is that wafer defects can sometimes occur more frequently in a particular process chamber relative to the other process chambers. For example, some types of wafer defects can be detected using optical detectors such as those manufactured by KLA Instruments Corporation, Santa Clara, Calif. These types of defects are sometimes termed “KLA defects”. The inventors have observed variations in KLA defects among wafers processed in different process chambers of multi chamber vacuum systems. In particular, some process chambers in multi chamber systems produce wafers with more defects.

[0008] One possible source of defect variation between the process chambers is that the rate of pressure change for the chambers during pump down and vent up cycles may not be the same. This difference in rate of pressure change can cause the pressures in the process chambers to be different for significant time increments. The pressure rate differences may be due to variations between conduction lines, pumps, valves and associated equipment for the different chambers. These variations can be caused by residue build up and other factors.

[0009] The same situation can occur among different single chamber systems adapted to perform the same process. Specifically, variations can occur between the different process chambers causing differences in the wafers. In this situation it would be advantageous to control the rate of pressure change during pump down and vent up in the process chambers in order to achieve process uniformity.

[0010] Prior art attempts to regulate pump down cycles in vacuum process chambers include “soft-start” valves, which open at a linear rate (i.e., at a certain percentage per second). Prior art attempts to regulate vent up cycles in vacuum process chambers include needle valves and mass flow controllers which control the flow rate into a particular chamber during vent up. However, these prior art systems do not compensate for system variables and are inherently linear in response. Accordingly, significant pressure differentials can still occur between different process chambers causing differences in the semiconductor wafers being processed.

[0011] The present invention provides a method and apparatus for achieving an optimal rate of pressure change in a vacuum process chamber during pump down and vent up cycles of a vacuum process. For multi chamber vacuum systems, the rate of pressure change between different process chambers can be matched such that one process variable can be eliminated and wafer uniformity can be improved. Similarly, for multiple single chamber systems adapted to perform the same process, one process variable can be eliminated and the uniformity of the wafers produced by the different vacuum process chambers can be improved.

SUMMARY OF THE INVENTION

[0012] In accordance with the present invention, a method and apparatus for controlling the rate of pressure change in a process chamber during pump down and vent up cycles of a vacuum process are provided. The method, simply stated, comprises, determining a desired rate of pressure change for the process chamber, and then, controlling the gas flow out of, or into, the process chamber to achieve the desired rate of pressure change. The gas flow can be controlled using a flow control valve and programmed controller responsive to feed back from pressure sensors within the process chamber. The desired rate of pressure change can be determined empirically for a particular vacuum process, expressed mathematically, and then programmed into the controller.

[0013] An apparatus constructed in accordance with the invention, comprises: a pressure sensor for sensing pressure in the process chamber; a control valve in flow communication with the process chamber; and a programmed controller for controlling the control valve responsive to the pressure sensor. Separate controllers and control valves can be operably associated with the process chamber for the pump down and vent up cycles of a vacuum process. For controlling the pump down cycle, a control valve can be in flow communication with a vacuum pump. For controlling the vent up cycle, a control valve can be in flow communication with an inert gas supply.

[0014] A system constructed in accordance with the invention comprises multiple process chambers configured for a vacuum process such as depositing or etching layers of semiconductor wafers. The multiple process chamber can be contained on the same frame or can be contained on separate pieces of equipment configured to perform the same process. Each process chamber includes a pressure sensor, and separate control valves and controllers for controlling pump down and vent up cycles during the vacuum processes. The controllers and control valves can be configured to match the rates of pressure change in the process chambers during the pump down and vent up cycles. The matched rates permit more process uniformity between the process chambers so that excessive defects do not occur in any one process chamber.

BRIEF DESCRIPTION OF THE DRAWINGS

[0015] FIG. 1A is a flow diagram of a method for controlling a rate of pressure change in a vacuum process chamber during a pump down cycle of a vacuum process;

[0016] FIG. 1B is a flow diagram of a method for controlling a rate of pressure change in a vacuum process chamber during a vent up cycle of a vacuum process;

[0017] FIG. 2A is a schematic diagram of an apparatus constructed in accordance with the invention for controlling the rate of pressure change in a vacuum process chamber during a pump down cycle of a vacuum processes;

[0018] FIG. 2B is a schematic diagram of an apparatus constructed in accordance with the invention for controlling the rate of pressure change in a vacuum process chamber during a vent up cycle of a vacuum process;

[0019] FIG. 2C is a graph illustrating the pressure within the vacuum process chamber as a function of time during pump down, operational and vent up cycles of a vacuum process;

[0020] FIG. 3A is a schematic diagram of a multi chambered system constructed in accordance with the invention with multiple process chambers contained on a same frame, wherein the rate of pressure change in the different process chambers during pump down and vent up can be matched;

[0021] FIG. 3B is a schematic diagram of a multi chambered system constructed in accordance with the invention with multiple process chambers on separate pieces of equipment but configured to perform the same process, wherein the rate of pressure change in the different process chambers during pump down and vent up can be matched;

[0022] FIG. 4 is a graph of pressure vs. time in a process chamber during a pump down cycle illustrating a rate of pressure change comprising a series of linear segments;

[0023] FIG. 5 is a graph of pressure vs. time in a process chamber during a pump down cycle illustrating another rate of pressure change comprising a series of linear segments; and

[0024] FIG. 6 is a graph of pressure vs. time in a process chamber during a vent up cycle illustrating a rate of pressure change comprising an exponential curve.

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

[0025] Referring to FIG. 1A, broad steps in a method for controlling the rate of pressure change in a vacuum process chamber during a pump down cycle of an etching or deposition process are shown. For a pump down cycle the method includes the steps of:

[0026] A. Providing a vacuum process chamber in flow communication with a vacuum pump.

[0027] B. Providing an evacuation control valve in flow communication with the process chamber and with the vacuum pump.

[0028] C. Providing a controller for controlling the evacuation control valve.

[0029] D. Providing a pressure sensor in the process chamber in electrical communication with the controller.

[0030] E. Sensing a pressure in the process chamber using the pressure sensor.

[0031] F. Controlling the rate of pressure change by inputting signals from the pressure sensor to the controller to control a flow rate through the control valve out of the chamber.

[0032] Referring to FIG. 1B, broad steps in a method for controlling the rate of pressure change in a vacuum process chamber during a vent up cycle are shown. For a vent up cycle the method includes the steps of:

[0033] A. Providing a vacuum process chamber in flow communication with a vent source such as an inert gas supply.

[0034] B. Providing a vent control valve in flow communication with the process chamber and vent source.

[0035] C. Providing a controller for controlling the vent control valve.

[0036] D. Providing a pressure sensor in the process chamber in electrical communication with the controller.

[0037] E. Sensing a pressure in the process chamber using the pressure sensor.

[0038] F. Controlling the rate of pressure change by inputting signals from the pressure sensor to the controller to control a flow rate from the vent source through the control valve into the chamber.

[0039] Referring to FIG. 2A, a pump down apparatus 10P for controlling a rate of pressure change in a vacuum process chamber 12 during a pump down cycle is illustrated. The pump down apparatus 10P includes a pressure sensor 14P configured to sense a pressure within the process chamber; a controller 16P in electrical communication with the pressure sensor 14P configured to receive feedback from the pressure sensor 14P; and a pump down control valve 18P coupled to the controller 16P in flow communication with the process chamber 12.

[0040] The vacuum process chamber 12 can be a component of an etching system such as a plasma etcher or a reactive ion etcher (RIE). Alternately the vacuum process chamber 12 can be a component of a CVD deposition system such as a plasma enhanced chemical vapor deposition (PECVD) apparatus, or a low pressure chemical vapor deposition (LPCVD) reactor.

[0041] The vacuum process chamber 12 is adapted to contain a gaseous etching or deposition species. The vacuum process chamber 12 is in flow communication with an evacuation pump 20. The evacuation pump 20 is configured to pump down (i.e., evacuate) and then to maintain the process chamber 12 at a desired vacuum pressure. For vacuum etching or deposition processes, the vacuum process chamber 12 can be evacuated to pressures of from 760 torr to 10−8 torr or less. Suitable conduits, such as tubes or pipes, can be formed between the vacuum process chamber 12 and the evacuation pump 20 to form conduction lines for the etching or deposition gases.

[0042] The pump down control valve 18P is located in the flow path of the etching or deposition gases from the process chamber 12 to the evacuation pump 20. The pump down control valve 18P is configured to regulate a flow rate of gases from the vacuum process chamber 12 to the evacuation pump 20 during a pump down cycle. The pump down control valve 18P can be a standard flow control valve such as a throttle valve or butterfly valve that is responsive to electrical signals from the controller 16P.

[0043] The controller 16P is configured to receive electrical signals from the pressure sensor 14P. This provides real time feed back to the controller 16P of the pressure within the process chamber 12. In addition, the controller 16P is configured to input electrical signals into the pump down control valve 18P for adjusting the pump down control valve 18P to achieve a desired flow rate at a particular pressure.

[0044] The controller 16P can include a microprocessor and programmable memory that is programmable to achieve a desired mode of operation for the controller 16P. For example, the controller 16P can be programmed such that the pump down control valve 18P achieves a desired rate of pressure change in the process chamber 12 during the pump down cycle. The controller 16P can also include a calibration cycle wherein the rate of pressure change at a given pressure versus a valve position for the control valve 18P at that pressure is determined. The calibration step is optional but makes the response of the control valve 18P more rapid and accurate.

[0045] As will be further explained, the desired rate of pressure change can be an empirically determined optimal rate. In addition, for multiple chamber systems, the desired rate of pressure change can be matched to the rate in another chamber. The multiple chambers can be included in the same system, or frame, or can be included in separate systems adapted to perform the same process.

[0046] Preferably, the desired rate of pressure change can be expressed mathematically such as illustrated in FIGS. 4-6. In these figures, pressure is plotted as a function of time and the rate of pressure change AP comprises the slope of the resultant curve.

[0047] Referring to FIG. 2B, a vent up apparatus 10V for controlling a rate of pressure change in the process chamber 12 during a vent up cycle of a vacuum process is shown. During the vent up cycle the pressure within the process chamber 12 can be increased to a level that is higher than the operating pressure for a particular vacuum process. This increased pressure level can be atmospheric pressure, or can be an intermediate pressure level, such as the vacuum pressure of a load lock chamber for the process chamber 12.

[0048] The vent up apparatus 10V comprises a pressure sensor 14V configured to sense a pressure within the process chamber; a controller 16V in electrical communication with the pressure sensor 14V configured to receive feedback from the pressure sensor 14V; and a vent up flow control valve 18V coupled to the controller 16V in flow communication with the process chamber 12.

[0049] In the vent up apparatus 10V, the vacuum process chamber 12 is in flow communication with an inert gas supply 28. The inert gas supply 28 can be maintained at a higher pressure than the operating pressure of the process chamber 12. The inert gas supply 28 is configured to inject an inert gas into the process chamber 12 during the vent up cycle. The vent up control valve 18V is configured to regulate a flow rate of gas from the inert gas supply 28 to the vacuum process chamber 12 during the vent up cycle. The controller 16V can be constructed as previously described for controller 16P and can include a microprocessor and programmable memory. Feed back from the pressure sensor 14V to the controller 16V enables the controller 16V to adjust the positions of the vent up control valve 18V to achieve a desired gas flow and rate of pressure change during the vent up cycle. Again this desired rate of pressure change can be empirically determined and can be matched in a multi chamber system. In addition, the controller 16V can include a periodic calibration cycle to determine the rate of pressure change at a given pressure and valve position.

[0050] FIG. 2C illustrates the pressure in the process chamber 12 as a function of time during an etching or deposition process. During the pump down cycle, the pressure in the process chamber 12 is decreased as indicated by the pump down portion 22 of the pressure curve. The rate of pressure change (&Dgr;P) during the pump down cycle (i.e., slope of portion 22) is controlled by the controller 16P (FIG. 2A) and the pump down control valve 18P (FIG. 2A). During the operating cycle, the pressure in the process chamber 12 is maintained at a desired operating pressure as indicated by the operating portion 24 of the pressure curve. During the vent up cycle, the pressure in the process chamber 12 is increased as indicated by the vent up portion 26 of the pressure curve. During the vent up cycle, the rate of pressure change (&Dgr;P) is controlled by the controller 16V (FIG. 2B) and vent up control valve 18V.

[0051] Referring to FIG. 3A, a multi chamber system 30A constructed in accordance with the invention with multiple chambers on a same frame is shown. As used herein, the term “same frame” refers to a single piece of equipment. For example, the system 30A can be based on a commercially available multi chamber frame, such as an “APPLIED MATERIALS 5000” manufactured by Applied Materials, Inc. of Santa Clara, Calif.

[0052] The system 30A can be configured for etching or depositing layers on semiconductor wafers during semiconductor fabrication processes. The system 30A includes a first process chamber 12A, a second process chamber 12B, and a third process chamber 12C. The system 30A can also include a wafer handler 32 configured to transport semiconductor wafers loaded in cassettes from a load lock station into the process chambers 12A-12C for etching or deposition processes.

[0053] Each process chamber 12A-12C includes an associated pump down apparatus 10PA-10PC. Each pump down apparatus 10PA-10PC includes a pump down pressure sensor 14PA-14PC, a pump down controller 16PA-16PC, a pump down control valve 18PA-18PC, and an evacuation pump 20A-20C. These elements function the same as the equivalent elements previously described. In the multi chamber system 30A, the rate of pressure change in the different process chambers 12A-12C during the pump down cycle can be an optimal rate as previously described. In addition, the rate of pressure change (&Dgr;P) can be substantially the same (i.e., matched) for each process chamber 12A-12C.

[0054] Each process chamber 12A-12C also includes an associated vent up apparatus 10VA-10VC. Each vent up apparatus 10VA-10VC includes a vent up pressure sensor 14VA-14VC, a vent up controller 16VA-16VC, a vent up control valve 18VA-18VC, and an inert gas supply 28A-28C. These elements function the same as the equivalent elements previously described. In the multi chamber system 30A, the rate of pressure change (&Dgr;P) in the different process chambers 12A-12C during the vent up cycle can be an optimal rate as previously described. In addition, the rate of pressure change can be substantially the same (i.e., matched) for each process chamber 12A-12C.

[0055] Referring to FIG. 3B, a system 30B includes separate process chambers 12D-12F that are not contained on the same frame. For example, the process chambers 12D-12F can be similar pieces of equipment that are not clustered together, but which perform the same processes (e.g., polysilicon deposition, metal etching, silicon nitride deposition and etching etc.). Since these process chambers 12D-12F may be in different areas of the semiconductor manufacturing plant, process variables can occur between the process chambers 12D-12F. For example, these process variables can include differences in pumping speeds, conduction line resistance, preventative maintenance schedules as well as others.

[0056] In accordance with the invention, each process chamber includes an associated vent up apparatus 10VD-10VF. Each vent up apparatus 10VD-10VF includes a vent up pressure sensor 14VD-14VF, a vent up controller 16VD-16VF, a vent up control valve 18VD-18VF, and an inert gas supply 28D-28F. These elements function the same as the equivalent elements previously described. In the multi chamber system 30B the rate of pressure change (&Dgr;P) in the different process chambers 12D-12F during the vent up cycle can be an optimal rate as previously described. In addition, the rate of pressure change can be substantially the same (i.e., matched) for each process chamber 12D-12F.

[0057] As also shown in FIG. 3B, each process chamber 12D-12F includes an associated pump down apparatus 10PD-10PF. Each pump down apparatus 10PD-10PF includes a pump down pressure sensor 14PD-14PF, a pump down controller 16PD-16PF, a pump down control valve 18PD-18PF, and an evacuation pump 20D-20F. These elements function the same as the equivalent elements previously described. In the multi chamber system 30B, the rate of pressure change in the different process chambers 12D-12F during the pump down cycle can be an optimal rate as previously described. In addition, the rate of pressure change (&Dgr;P) can be substantially the same value (i.e., matched) for each process chamber 12D-12F.

[0058] In the multi chamber system 30B shown in FIG. 3B, each of the process chambers 12D-12F can be configured to perform the same process or “recipe”. In addition, the vent up and pump down cycles for each recipe can be matched. Still further, the process chambers 12D-12F can comprise stock equipment from different equipment vendors but still use the same pump down and vent up cycles for a given process recipe.

EXAMPLE 1

[0059] Referring to FIG. 4, an exemplary pump down cycle for the pump down apparatus 10P (FIG. 2) is shown. In FIG. 4, the pressure in the process chamber 12 (FIG. 2) is plotted as a function of time as the pump down cycle progresses. Initially, the process chamber 12 (FIG. 2) has a pressure of approximately 760 torr. An optimal rate of pressure drop during the pump down cycle includes three (pressure v time) segments.

[0060] In a first segment the pressure is to be reduced to 100 torr in 20 seconds. In a second segment the pressure is to be reduced from 100 torr to 1 torr in 15 seconds. In a third segment the pressure is to be reduced from 1 torr to 500 milli-torr in 15 seconds. The rate of pressure change during each segment is represented by &Dgr;P1, &Dgr;P2 and &Dgr;P3. Each rate of pressure change for a respective segment is linear for that segment. In other words, the change in pressure for each segment is directly proportional to the change in time. However, the rate of change &Dgr;P1, &Dgr;P2 and &Dgr;P3 is different for each segment.

[0061] The (pressure vs. time) segments can be empirically determined and then programmed into the controller 16P (FIG. 2A). During each pressure segment the controller 16P (FIG. 2A) based upon input from the pressure sensor 14P (FIG. 2A) adjusts the position of the pump down control valve 18P (FIG. 2) to meet the desired rate of pressure change.

EXAMPLE 2

[0062] Referring to FIG. 5, another example of a pump down cycle is illustrated. In this example the process chamber 12 (FIG. 2A) is adjacent to a staging area, such as a load lock, wherein transfer of the wafers into the process chamber 12 (FIG. 2A) takes place. The staging area is at a pressure that is less than atmosphere, which in this example is 10 torr. On the other hand, the desired steady state processing pressure in the process chamber (FIG. 2A) is to be 150 milli-torr.

[0063] It is desired to pump down in a linear fashion from 10 torr to 1 torr in ten seconds, then from 1 torr to 500 millitorr in 15 seconds, then from 500 milli-torr to the operating pressure of 150 milli torr in 20 seconds. These rates of pressure change are represented by segments 4, 5 and 6 respectively. Segment 7 represents the steady state operating pressure.

[0064] Based upon these predetermined rates of pressure change, the controller 16P (FIG. 2A) can be programmed to adjust the positions of the pump down control valve 18P (FIG. 2A) responsive to input from the pressure sensor 14P (FIG. 2A) to achieve the desired rate. Prior to the pump down cycle, a calibration cycle can be performed to determine the rate of pressure drop at a given pressure for different positions of the control valve 18P.

EXAMPLE 3

[0065] Referring to FIG. 6, an exemplary vent up cycle is illustrated. During the vent up cycle the pressure in the process chamber 12 (FIG. 2B) is increased from a steady state operating pressure 34 to atmospheric pressure. In this case it is desired to increase the pressure in the process chamber 12 (FIG. 2B) in a non linear or exponential manner. An exponential curve 36 represents the desired rate of pressure change during the vent up cycle. The exponential curve 36 can be empirically determined.

[0066] In accordance with the invention, the vent up controller 16V (FIG. 2B) is programmed to achieve a rate of pressure change in the process chamber 12 (FIG. 2B) that is equivalent to the exponential curve 36. Accordingly, the vent up controller 16V (FIG. 2B) based upon feedback from the pressure sensor 14V, (FIG. 2B) adjusts the positions of the vent up control valve 18V (FIG. 2B). The vent up control valve 18V meters the flow of inert gas from the inert gas supply 28 (FIG. 2B) to achieve the desired rate of pressure change.

[0067] Thus the invention provides an improved method, apparatus and system for controlling the rate of pressure change in a vacuum process chamber during pump down and vent up cycles of a vacuum etching or deposition process. In addition, the invention permits an optimal rate of pressure change to be achieved in a single chamber or multi chamber etching or deposition system. For a multi chamber system the rate of pressure change between different chambers of the system can be made substantially the same. This improves process uniformity because at least one variable is eliminated, and permits semiconductor wafers to be fabricated with fewer defects.

[0068] While the invention has been described with reference to certain preferred embodiments, as will be apparent to those skilled in the art, certain changes and modifications can be made without departing from the scope of the invention as defined by the following claims.

Claims

1. A method for controlling a rate of pressure change in a vacuum process chamber during a vacuum process comprising:

determining a desired rate of pressure change for the chamber during the vacuum process;
providing a valve in flow communication with the chamber;
sensing a pressure within the chamber; and
controlling a flow through the valve responsive to the pressure to achieve the desired rate of pressure change.

2. The method as claimed in

claim 1 and wherein the vacuum process comprises an etching process.

3. The method as claimed in

claim 1 and wherein the vacuum process comprises a deposition process.

4. A method for controlling a rate of pressure change during a pump down cycle for a vacuum process chamber comprising:

determining a desired rate of pressure change for the chamber during the pump down cycle;
providing a valve in flow communication with the chamber and with a pump; and
controlling a flow through the valve to the pump by sensing pressure in the chamber and adjusting a position of the valve responsive to the pressure to achieve the desired rate of pressure change.

5. The method as claimed in

claim 4 wherein adjusting the position of the valve is performed with a controller.

6. The method as claimed in

claim 5 wherein the controller is programmable to store the desired rate of pressure change.

7. A method for controlling a rate of pressure change during a vent up cycle for a vacuum process chamber comprising:

determining a desired rate of pressure change for the chamber during the vent up cycle;
providing a valve in flow communication with the chamber and with a gas supply; and
controlling a flow through the valve to the chamber by sensing pressure in the chamber and adjusting a position of the valve responsive to the pressure to achieve the desired rate of pressure change.

8. The method as claimed in

claim 7 wherein adjusting the position of the valve is performed with a controller.

9. The method as claimed in

claim 8 wherein the controller is programmable to store the desired rate of pressure change.

10. A method for controlling a rate of pressure change in a process chamber during a vacuum process comprising:

providing a pressure sensor in the chamber;
providing a valve in flow communication with the chamber;
providing a programmed controller in electrical communication with the pressure sensor configured to adjust a flow rate through the valve responsive to signals from the pressure sensor;
sensing a pressure in the chamber and communicating the pressure to the controller; and
controlling a flow rate through the valve such that the rate of pressure change in the chamber matches a desired rate of pressure change programmed into the controller.

11. The method as claimed in

claim 10 wherein the method controls the rate of pressure change during a pump down cycle for the vacuum process.

12. The method as claimed in

claim 10 wherein the method controls the rate of pressure change during a vent up cycle for the vacuum process.

13. A method for controlling a vacuum process comprising:

providing a plurality of vacuum process chambers;
providing a plurality of valves in flow communication with the chambers;
providing at least one controller for the valves configured to control flow rates through the valves;
providing a plurality of pressure sensors in the chambers in electrical communication with the controller; and
matching rates of pressure change in the chambers by controlling flow rates through the valves using the controller and feedback from the pressure sensors.

14. The method as claimed in

claim 13 and further comprising providing the controller with desired rates of pressure change and matching the rates of pressure change to the desired rates of pressure change.

15. The method as claimed in

claim 13 wherein the vacuum process comprises an etching process or a deposition process.

16. A method for controlling a plurality of vacuum process chambers comprising:

providing a pressure sensor in each chamber;
providing a plurality of control valves for controlling flow rates into and out of each chamber;
providing a plurality of controllers for the control valves, with each controller in electrical communication with a respective pressure sensor and valve;
programming each controller with a desired rate of pressure change; and
adjusting the flow rate through the valves using the controllers and pressure sensors, such that a rate of pressure change in each chamber matches the desired rate of pressure change.

17. The method as claimed in

claim 16 wherein the desired rate of pressure change is for a pump down cycle of a vacuum process.

18. The method as claimed in

claim 16 wherein the desired rate of pressure change is for a vent up cycle of a vacuum process.

19. The method as claimed in

claim 16 wherein the vacuum process chambers are contained on a same frame.

20. The method as claimed in

claim 16 wherein the vacuum process chambers are contained on separate pieces of equipment.

21. A method for controlling a vacuum process during processing o f a semiconductor wafer comprising:

providing a vacuum process chamber;
determining a desired rate of pressure change in the chamber during the vacuum process;
sensing a pressure in the chamber;
providing a valve in gaseous flow communication with the chamber; and
controlling a gas flow through the valve by adjusting a position of the valve responsive to sensing the pressure, to substantially match the rate of pressure change in the chamber during the vacuum process with the desired rate of pressure change.

22. The method as claimed in

claim 21 wherein the vacuum process comprises an etching process.

23. The method as claimed in

claim 21 wherein the vacuum process comprises a deposition process.

24. An apparatus for controlling a rate of pressure change in a vacuum process chamber during a pump down cycle of a vacuum process comprising:

a pressure sensor configured to sense a pressure within the chamber;
a control valve in flow communication with the chamber and with a pump; and
a controller for the control valve in electrical communication with the sensor, said controller configured to control flow from the chamber through the control valve to the pump, said controller responsive to input from the sensor to achieve a desired rate of pressure change in the chamber.

25. The apparatus as claimed in

claim 24 wherein the controller comprises a programmable memory wherein the desired rate of pressure change is stored.

26. The apparatus as claimed in

claim 24 wherein the chamber is contained in a multi chambered system and the rate of pressure change during the vacuum process is matched between the chambers.

27. The apparatus as claimed in

claim 24 wherein the multi chambered system comprises a plurality of chambers contained on a same frame.

28. The apparatus as claimed in

claim 24 wherein the multi chambered system comprises a plurality of chambers contained on separate pieces of equipment.

29. An apparatus for controlling a rate of pressure change in a vacuum process chamber during a vent up cycle of a vacuum process comprising:

a pressure sensor configured to sense a pressure within the chamber;
a control valve in flow communication with the chamber and with an inert gas supply; and
a controller for the control valve, said controller in electrical communication with the sensor, said controller configured to control flow from the gas supply through the control valve to the chamber, said controller responsive to input from the sensor to achieve a desired rate of pressure change in the chamber.

30. The apparatus as claimed in

claim 29 wherein the controller comprises a programmable memory wherein the desired rate of pressure change is stored.

31. The apparatus as claimed in

claim 29 wherein the chamber is part of a multi chambered system and the rate of pressure change during the vacuum process is matched between the chambers.

32. An apparatus for controlling a vacuum process in a process chamber comprising:

a pressure sensor configured to sense a pressure within the chamber;
a flow control valve in flow communication with the chamber; and
a controller for the control valve in electrical communication with the sensor, said controller programmable with a desired rate of pressure change for the vacuum process, said controller configured to adjust a position of the control valve responsive to the pressure to achieve the desired rate of pressure change in the chamber during the vacuum process.

33. The apparatus as claimed in

claim 32 wherein the vacuum process comprises a pump down cycle wherein the chamber is evacuated to an operating pressure.

34. The apparatus as claimed in

claim 32 wherein the vacuum process comprises a vent up cycle wherein the chamber is pressurized.

35. The apparatus as claimed in

claim 32 wherein the control valve is in flow communication with a vacuum pump.

36. The apparatus as claimed in

claim 32 wherein the control valve is in flow communication with a gas supply.

37. A vacuum system comprising:

a first process chamber and a second process chamber;
a first pressure sensor in the first process chamber and a second pressure sensor in the second process chamber; and
a controller coupled to the first and second pressure sensors configured to control flow rates from the first and second chambers such that a rate of pressure change in the first and second chambers during a vacuum process matches.

38. The system as claimed in

claim 37 wherein the vacuum process includes a pump down cycle and the controller causes the process chambers to have matching rates of pressure change during the pump down cycle.

39. A vacuum system comprising:

a first process chamber and a second process chamber;
a first pressure sensor in the first process chamber and a second pressure sensor in the second process chamber; and
a first controller coupled to the first pressure sensor;
a second controller coupled to the second pressure sensor;
said first and second controllers configured to control flow rates into the first and second chambers such that a rate of pressure change in the first and second chambers during a vacuum process matches.

40. The system as claimed in

claim 39 wherein the vacuum process includes a vent up cycle and the first and second controllers cause the first and second process chambers to have matching rates of pressure change during the vent up cycle.

41. The system as claimed in

claim 39 wherein the first and second process chambers are contained on a same frame.

42. The system as claimed in

claim 39 wherein the first and second process chambers are contained on separate pieces of equipment.

43. A system for controlling pressure for a plurality of vacuum processes comprising:

a first process chamber and a second process chamber;
a first pressure sensor in the first process chamber and a second pressure sensor in the second process chamber;
a first control valve in flow communication with the first process chamber and a second control valve in flow communication with the second process chamber; and
a first controller coupled to the first control valve and first pressure sensor, and a second controller coupled to the second control valve and the second pressure sensor, said controllers responsive to the sensors to match a rate of pressure change in the first and second process chambers during the vacuum processes.

44. The system as claimed in

claim 43 wherein the controllers are programmable with a desired rate of pressure change.

45. The system as claimed in

claim 43 wherein the vacuum processes include a pump down cycle and a vent up cycle.

46. The system as claimed in

claim 43 wherein the vacuum processes comprises a deposition or etching process.

47. The system as claimed in

claim 43 wherein the first process chamber and the second process chamber are contained on a same frame.

48. The system as claimed in

claim 43 wherein the first process chamber and the second process chamber are contained on separate pieces of equipment.

49. A vacuum system for semiconductor wafers comprising:

a wafer handler configured to transport the wafers;
a plurality of vacuum process chambers configured to receive wafers from the wafer handler;
a pressure sensor in each process chamber;
a flow control valve associated with each chamber for controlling a flow rate into or out of each chamber; and
a controller for controlling each control valve, said controllers configured to control the control valves such that a rate of pressure change in the chambers during a vacuum process substantially matches a desired rate of pressure change.

50. The system as claimed in

claim 49 wherein the flow control valves are in flow communication with evacuation pumps for a pump down cycle.

51. The system as claimed in

claim 49 wherein the flow control valves are in flow communication with an inert gas supply for a vent up cycle.

52. A vacuum system for semiconductor wafers comprising:

a first process chamber and a second process chamber configured to perform a same vacuum process;
a first pressure sensor for sensing pressure in the first process chamber and a second pressure sensor for sensing pressure in the second process chamber;
a first control valve for controlling a first flow rate from the first process chamber and a second control valve for controlling a second flow rate from the second process chamber;
a first controller responsive to the first pressure sensor for controlling the first flow rate and a second controller responsive to the second pressure sensor for controlling the second flow rate;
wherein said first and second controllers are programmed such that the first and second flow rates comprise substantially a same value.

53. The vacuum system as claimed in

claim 52 wherein the first process chamber and the second process chamber are contained on a same frame.

54. The vacuum system as claimed in

claim 52 wherein the first process chamber and the second process chamber are contained on separate pieces of equipment.

55. The vacuum system as claimed in

claim 52 wherein the same vacuum process comprises a deposition process.

56. The vacuum system as claimed in

claim 52 wherein the same vacuum process comprises an etching process.
Patent History
Publication number: 20010039921
Type: Application
Filed: Feb 21, 1997
Publication Date: Nov 15, 2001
Inventors: J. BRETT ROLFSON (BOISE, ID), ELTON HOCHHALTER (BOISE, ID)
Application Number: 08805018
Classifications
Current U.S. Class: Gas Or Vapor Deposition (118/715); Running Length Work (118/718); Work Support (118/728); 156/345; Chemical Etching (438/689)
International Classification: C23C016/00;