Work Support Patents (Class 118/728)
  • Patent number: 11926892
    Abstract: Methods for etching a semiconductor structure and for conditioning a processing reactor in which a single semiconductor structure is treated are disclosed. An engineered polycrystalline silicon surface layer is deposited on a susceptor which supports the semiconductor structure. The polycrystalline silicon surface layer may be engineered by controlling the temperature at which the layer is deposited, by grooving the polycrystalline silicon surface layer or by controlling the thickness of the polycrystalline silicon surface layer.
    Type: Grant
    Filed: June 9, 2022
    Date of Patent: March 12, 2024
    Assignee: GlobalWafers Co., LTD.
    Inventor: Gang Wang
  • Patent number: 11915918
    Abstract: A physical vapor deposition processing chamber is described. The processing chamber includes a target backing plate in a top portion of the processing chamber, a substrate support in a bottom portion of the processing chamber, a deposition ring positioned at an outer periphery of the substrate support and a shield. The substrate support has a support surface spaced a distance from the target backing plate to form a process cavity. The shield forms an outer bound of the process cavity. In-chamber cleaning methods are also described. In an embodiment, the method includes closing a bottom gas flow path of a processing chamber to a process cavity, flowing an inert gas from the bottom gas flow path, flowing a reactant into the process cavity through an opening in the shield, and evacuating the reaction gas from the process cavity.
    Type: Grant
    Filed: June 29, 2021
    Date of Patent: February 27, 2024
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jothilingam Ramalingam, Yong Cao, Ilya Lavitsky, Keith A. Miller, Tza-Jing Gung, Xianmin Tang, Shane Lavan, Randy D. Schmieding, John C. Forster, Kirankumar Neelasandra Savandaiah
  • Patent number: 11908667
    Abstract: The present disclosure relates to a ceramic susceptor. The ceramic susceptor of the present disclosure includes: an insulating plate on which a high-frequency electrode is disposed; a shaft connected to the insulating plate; a connection mount connected to a longitudinal end of the shaft; a first rod and a second rod, which are connected to the high-frequency electrode, pass through the longitudinal end of the shaft, and extend to the connection mount; and a connection member disposed in the connection mount, wherein the connection member connects the first rod to the second rod.
    Type: Grant
    Filed: April 19, 2022
    Date of Patent: February 20, 2024
    Assignee: MICO CERAMICS LTD.
    Inventors: Ju Sung Lee, Haneum Bae
  • Patent number: 11901692
    Abstract: A semiconductor laser device is provided. The semiconductor laser device includes: a substrate having a first facet; a guiding layer having a second facet through which an output light is configured to be emitted; a bottom dielectric layer between the substrate and the guiding layer; and a top dielectric layer on the guiding layer. The second facet is at an angle relative to the first facet.
    Type: Grant
    Filed: December 3, 2021
    Date of Patent: February 13, 2024
    Assignee: Skorpios Technologies, Inc.
    Inventors: Murtaza Askari, Stephen B. Krasulick, Majid Sodagar, John Zyskind
  • Patent number: 11885022
    Abstract: A film may be formed on a surface of a substrate by chemical vapor deposition in a reaction container provided with at least a first holding member that is capable of holding the substrate and a second holding member that is capable of holding the substrate independently from the first holding member, by: (a) forming a film on the surface of the substrate by chemical vapor deposition while holding the substrate by the first holding member; (b) moving at least one holding member among the first holding member and the second holding member in at least one direction of the upward direction and the downward direction to hold the substrate by the second holding member instead of the first holding member; and (c) forming a film on the surface of the substrate held by the second holding member by chemical vapor deposition.
    Type: Grant
    Filed: February 14, 2022
    Date of Patent: January 30, 2024
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Chikara Mori, Waichi Yamamura
  • Patent number: 11886120
    Abstract: Embodiments disclosed herein include methods of depositing a metal oxo photoresist using dry deposition processes. In an embodiment, the method comprises forming a first metal oxo film on the substrate with a first vapor phase process including a first metal precursor vapor and a first oxidant vapor, and forming a second metal oxo film over the first metal oxo film with a second vapor phase process including a second metal precursor vapor and a second oxidant vapor.
    Type: Grant
    Filed: June 23, 2021
    Date of Patent: January 30, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Lakmal Charidu Kalutarage, Mark Joseph Saly, Bhaskar Jyoti Bhuyan, Thomas Joseph Knisley, Kelvin Chan, Regina Germanie Freed, David Michael Thompson, Susmit Singha Roy, Madhur Sachan
  • Patent number: 11854840
    Abstract: A substrate processing system includes: a substrate transfer device; processing units each having a substrate holding mechanism for rotatably holding a substrate received from the substrate transfer device and a processing fluid supply part for supplying a processing fluid to the substrate; and a controller for controlling the substrate transfer device and the processing units according to processing recipe information so as to execute the substrate processing process. When an abnormality in a certain unit of the processing units occurs in the substrate processing process for the substrate to be processed, the controller controls the substrate transfer device and a relief processing unit according to complementary recipe information so that the complementary processing process for a relief substrate is executed in the relief processing unit by transferring the relief substrate to the relief processing unit different from the certain processing unit.
    Type: Grant
    Filed: July 2, 2020
    Date of Patent: December 26, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Yuji Takimoto
  • Patent number: 11851752
    Abstract: A method for forming a silicon film includes supplying a first processing gas including a silicon-containing gas to a substrate to deposit a first silicon film under a first processing condition; and supplying a second processing gas including the silicon-containing gas to the substrate to deposit a second silicon film under a second processing condition. A second in-plane distribution of film characteristic when the second silicon film is deposited under the second processing condition is different from a first in-plane distribution of the film characteristic when the first silicon film is deposited under the first processing condition.
    Type: Grant
    Filed: March 9, 2022
    Date of Patent: December 26, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Akari Matsunaga, Yutaka Motoyama, Satoshi Takagi
  • Patent number: 11848226
    Abstract: In one embodiment, a susceptor for thermal processing is provided. The susceptor includes an outer rim surrounding and coupled to an inner dish, the outer rim having an inner edge and an outer edge. The susceptor further includes one or more structures for reducing a contacting surface area between a substrate and the susceptor when the substrate is supported by the susceptor. At least one of the one or more structures is coupled to the inner dish proximate the inner edge of the outer rim.
    Type: Grant
    Filed: February 23, 2021
    Date of Patent: December 19, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Anhthu Ngo, Zuoming Zhu, Balasubramanian Ramachandran, Paul Brillhart, Edric Tong, Anzhong Chang, Kin Pong Lo, Kartik Shah, Schubert S. Chu, Zhepeng Cong, James Francis Mack, Nyi O. Myo, Kevin Joseph Bautista, Xuebin Li, Yi-Chiau Huang, Zhiyuan Ye
  • Patent number: 11842898
    Abstract: Quality of a crystalline film is improved. In a method for manufacturing a panel, a polysilicon film is formed by emission of laser light to an amorphous silicon film 3A through a light-transmittable member 4 that can transmit the laser light.
    Type: Grant
    Filed: June 19, 2019
    Date of Patent: December 12, 2023
    Assignee: JSW AKTINA SYSTEM CO., LTD
    Inventors: Suk-Hwan Chung, Masashi Machida
  • Patent number: 11837594
    Abstract: A method of forming a microelectronic device comprises forming a microelectronic device structure assembly comprising memory cells, digit lines coupled to the memory cells, contact structures coupled to the digit lines, word lines coupled to the memory cells, additional contact structures coupled to the word lines, and isolation material surrounding the contact structures and the additional contact structures and overlying the memory cells. An additional microelectronic device structure assembly is formed and comprises control logic devices, further contact structures coupled to the control logic devices, and additional isolation material surrounding the further contact structures and overlying the control logic devices.
    Type: Grant
    Filed: June 30, 2021
    Date of Patent: December 5, 2023
    Assignee: Micron Technology, Inc.
    Inventors: Fatma Arzum Simsek-Ege, Kunal R. Parekh
  • Patent number: 11830731
    Abstract: The present disclosure pertains to embodiments of a semiconductor deposition reactor manifold and methods of using the semiconductor deposition reactor manifold which can be used to deposit semiconductor layers using processes such as atomic layer deposition (ALD). The semiconductor deposition reactor manifold has a bore, a first supply channel, and a second supply channel. Advantageously, the first supply channel and the second supply channel merge with the bore in an offset fashion which leads to reduced cross-contamination within the supply channels.
    Type: Grant
    Filed: October 20, 2020
    Date of Patent: November 28, 2023
    Assignee: ASM IP Holding B.V.
    Inventors: Dinkar Nandwana, Eric James Shero, Carl Louis White, Todd Robert Dunn, William George Petro, Jereld Lee Winkler, Aniket Chitale
  • Patent number: 11823937
    Abstract: A calibration object is retrieved, by a first robot arm of a transfer chamber, from a processing chamber connected to the transfer chamber and placed in a load lock connected to the transfer chamber. The calibration object is retrieved from the load lock by a second robot arm of a factory interface connected to the load lock and placed at an aligner station housed in or connected to the factory interface. The calibration object has a first orientation at the aligner station. A difference is determined between the first orientation and an initial target orientation at the aligner station. A first characteristic error value associated with the processing chamber is determined based on the determined difference. The first characteristic error value is recorded in a storage medium. The aligner station is to use the first characteristic error value for alignment of objects to be placed in the processing chamber.
    Type: Grant
    Filed: August 11, 2020
    Date of Patent: November 21, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Nicholas Michael Bergantz, Andreas Schmid, Leon Volfovski, Sanggyum Kim, Damon Cox, Paul Wirth
  • Patent number: 11820716
    Abstract: A method of fabricating cooling features on a CMC component may comprise compressing a fabric preform within tooling including holes and/or recesses facing the fabric preform. During the compression, portions of the fabric preform are pushed into the holes and/or recesses. Gases are delivered through the tooling to deposit a matrix material on exposed surfaces of the fabric preform while the fabric preform is being compressed. The matrix material builds up on the portions of the fabric preform pushed into the holes and/or recesses, and a rigidized preform with surface protrusions is formed. The tooling is removed, and the rigidized preform is densified, thereby forming a CMC component including raised surface features.
    Type: Grant
    Filed: October 17, 2019
    Date of Patent: November 21, 2023
    Assignees: ROLLS ROYCE NORTH AMERICAN TECHNOLOGIES INC., ROLLS-ROYCE HIGH TEMPERATURE COMPOSITES INC., ROLLS-ROYCE CORPORATION
    Inventors: Ted Freeman, Aaron Sippel, Robert Shinavski, Chris Barrett
  • Patent number: 11818810
    Abstract: A heater assembly having a backside purge gap formed between a top plate and a heater of the heater assembly, the top plate having a top plate wall. The top plate wall having an upper portion, a middle portion and a lower portion, the middle portion forming an incline relative to the top portion.
    Type: Grant
    Filed: March 26, 2021
    Date of Patent: November 14, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Dhritiman Subha Kashyap, Amit Rajendra Sherekar, Kartik Shah, Ashutosh Agarwal, Eric J. Hoffmann, Sanjeev Baluja, Vijay D. Parkhe
  • Patent number: 11810767
    Abstract: A wafer placement device includes a wafer placement stage including a wafer electrostatic chuck and a wafer cooling plate, a focus-ring placement stage including a focus-ring electrostatic chuck and a focus-ring cooling plate, and a clamping member arranged around the focus-ring placement stage. The wafer placement stage, the focus-ring placement stage, and the clamping member are separate from one another. A pressing portion of the focus-ring cooling plate presses a wafer cooling plate flange against a mounting plate. The clamping member is fastened to the mounting plate with bolts in a state of pressing a flange against the mounting plate at its flange, thus fixing the wafer placement stage and the focus-ring placement stage to the mounting plate without directly fastening them to the mounting plate.
    Type: Grant
    Filed: August 24, 2020
    Date of Patent: November 7, 2023
    Assignee: NGK INSULATORS, LTD.
    Inventor: Hiroshi Takebayashi
  • Patent number: 11804367
    Abstract: Provided is plasma processing equipment comprising a substrate support, a focus ring disposed along an edge of the upper surface of the substrate support and including a fluid hole passing through a main body, an insulating ring surrounding an outer sidewall of the substrate support and including an inner side surface facing the outer sidewall of the substrate support, an outer side surface, and an upper surface connecting the inner and outer side surfaces, and including upper and lower end portions having different heights, and a connection end portion connecting the upper and lower end portions, a liner surrounding the outer side surface of the insulating ring and a baffle disposed on an upper surface of the liner, wherein a fluid passing through the fluid hole flows along the upper surface, and the baffle generates a pressure difference of the fluid between the upper and lower end portions.
    Type: Grant
    Filed: April 5, 2021
    Date of Patent: October 31, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Seok Hwan Bae, Dong Hoon Kim, Byeong Sang Kim, Hak Young Kim, Hee Won Min
  • Patent number: 11798829
    Abstract: A cassette which receives a substrate, and a substrate receiving system including a chamber which receives a cassette in which a substrate is loaded are provided. The cassette which receives a substrate includes: a plurality of slot supports stacked in a first direction; and a frame connected to the plurality of slot supports and extending in the first direction, wherein the plurality of slot supports and the frame are opened in an outward direction to receive the substrate, and are closed in an inward direction after the substrate is received.
    Type: Grant
    Filed: March 19, 2021
    Date of Patent: October 24, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Yoon Su Kim
  • Patent number: 11766765
    Abstract: A substrate treatment apparatus is provided. The substrate treatment apparatus includes a substrate support part provided with a seating surface and configured to support a substrate, a guide ring annularly disposed along an edge of the substrate support part to surround the substrate, and a centering part provided inside the guide ring and configured to center the substrate by moving in a direction parallel to the seating surface to pressurize the edge of the substrate.
    Type: Grant
    Filed: November 25, 2019
    Date of Patent: September 26, 2023
    Assignee: Semes Co., Ltd.
    Inventors: Ki Sang Eum, Byoung Ok Kim, Jae Hun Jeong, Ju Eun Kim, Jun Ho Seo, Man Kyu Kang
  • Patent number: 11769684
    Abstract: Substrate supports comprising a plurality of bonded plates forming a single component support body and methods of forming the substrate supports are described. The single component support body has an outer peripheral edge, a top surface and a bottom surface. A pocket is formed in the top surface and has a bottom surface, a depth and an outer peripheral edge. A purge ring is spaced a distance from the outer peripheral edge and comprises at least one opening in the top surface in fluid communication with a purge gas line within the body thickness.
    Type: Grant
    Filed: December 13, 2022
    Date of Patent: September 26, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Tejas Ulavi, Vijay D. Parkhe, Naveen Kumar Nagaraja, Sanjeev Baluja, Surajit Kumar, Dhritiman Subha Kashyap, Ashutosh Agarwal
  • Patent number: 11764039
    Abstract: A wafer support includes an RF electrode and a heater electrode that are embedded inside a disk-shaped ceramic base having a wafer placement surface. The RF electrode is constituted by a plurality of RF zone electrodes that are individually disposed for each of a plurality of divided zones of the wafer placement surface. The plurality of RF zone electrodes are separately disposed in at least two stages that are positioned at different distances from the wafer placement surface. The heater electrode is constituted by a plurality of heater zone electrodes that are individually disposed for each of a plurality of divided zones of the wafer placement surface, the zones being divided in a similar or different way to or from the RF zone electrodes.
    Type: Grant
    Filed: January 24, 2020
    Date of Patent: September 19, 2023
    Assignee: NGK INSULATORS, LTD.
    Inventor: Tomohiro Takahashi
  • Patent number: 11764100
    Abstract: A plurality of substrate support pins are provided upright on a holding plate so as to contact a position on which no stress is exerted in a lower surface of a semiconductor wafer when an upper surface of the semiconductor wafer is irradiated with flash light emitted from a flash lamp and thus reaches a maximum temperature. When the application of the flash light causes the upper surface of the semiconductor wafer to warp such that the upper surface becomes raised, stress concentration does not occur in the contact position of the lower surface of the semiconductor wafer that contacts the plurality of substrate support pins. The semiconductor wafer can be prevented from breaking during the application of the flash light.
    Type: Grant
    Filed: April 10, 2019
    Date of Patent: September 19, 2023
    Assignee: SCREEN Holdings Co., Ltd.
    Inventor: Kazuhiko Fuse
  • Patent number: 11742180
    Abstract: A plasma processing method according to an exemplary embodiment includes preparing a substrate in a chamber of a plasma processing apparatus. The substrate is disposed on a substrate support in the chamber. The substrate support includes a lower electrode and an electrostatic chuck. The electrostatic chuck is provided on the lower electrode. The plasma processing method further includes applying a positive voltage to a conductive member when plasma is being generated in the chamber for plasma processing on the substrate. The conductive member extends closer to a grounded side wall of the chamber than the substrate.
    Type: Grant
    Filed: July 2, 2020
    Date of Patent: August 29, 2023
    Assignee: Tokyo Electron Limited
    Inventor: Chishio Koshimizu
  • Patent number: 11742781
    Abstract: An electrostatic chuck solves the problem of wafer sticking by providing conductive paths on raised embossments that are bridged together and are connected to ground that support the wafer substrate above the surface of the electrostatic chuck. Further, laterally spaced electrode patterns and electrode elements which are spaced laterally and longitudinally away from the raised embossments reduce or eliminate electrical coupling during wafer clamping between conductively coated embossments and the electrode elements, thereby creating a low resistance path for charges remaining on the wafer after declamping to promptly travel to ground. The conductive bridge and electrode pattern configuration also substantially reduces or eliminates any charge build up on the conductive bridge(s) during clamping in order that charge build up in “islands” (worn portions of the insulator layer of the main field area) do not affect the charge dissipation from the wafer substrate through the conductive bridges to ground.
    Type: Grant
    Filed: November 13, 2019
    Date of Patent: August 29, 2023
    Assignee: ENTEGRIS, INC.
    Inventors: Yan Liu, Jakub Rybczynski, Steven Donnell, Chun Wang Chan
  • Patent number: 11728198
    Abstract: An electrostatic chuck according to an embodiment includes a fixing plate on which a wafer is fixed, an electrostatic plate located under the fixing plate and configured to generate an electrostatic force to fix the wafer on the fixing plate, a plurality of heating elements located under the electrostatic plate and separated to locally control a temperature of the electrostatic plate, and a cooling plate located under the plurality of separated heating elements and configured to emit heat transferred by the plurality of separated heating elements.
    Type: Grant
    Filed: June 5, 2019
    Date of Patent: August 15, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Myoung-Soo Park, Siqing Lu, Michio Ishikawa, Masashi Kikuchi
  • Patent number: 11725285
    Abstract: A heat shield structure for a substrate support in a substrate processing system includes an outer shield configured to surround a stem of the substrate support. The outer shield is further configured to define an inner volume between the outer shield and an upper portion of the stem and a lower surface of the substrate support and a vertical channel between the outer shield and a lower portion of the stem of the substrate support. The outer shield includes a cylindrical portion, a first lateral portion extending radially outward from the cylindrical portion, an angled portion extending radially outward and upward from the first lateral portion, and a second lateral portion extending radially outward from the angled portion.
    Type: Grant
    Filed: July 25, 2019
    Date of Patent: August 15, 2023
    Assignee: Lam Research Corporation
    Inventors: Vinayakaraddy Gulabal, Ravi Vellanki, Gary B. Lind, Michael Rumer, Manjunath Satyadevan
  • Patent number: 11728145
    Abstract: A stage includes: a pin insertion passage penetrating the stage on which a substrate is mounted, and configured to allow a lifter pin to be inserted into and penetrate the pin insertion passage, a heat transfer gas passage penetrating the stage, and configured to introduce a heat transfer gas onto a mounting surface of the stage; a common gas passage in communication with the pin insertion passage and the heat transfer gas passage, and configured to allow the heat transfer gas to flow through the common gas passage; and a first member disposed to face the common gas passage at a location at which the pin insertion passage and the common gas passage intersect each other, and configured to adjust a flow rate of the heat transfer gas introduced onto the mounting surface of the stage from the pin insertion passage.
    Type: Grant
    Filed: December 21, 2020
    Date of Patent: August 15, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Daisuke Satake
  • Patent number: 11721569
    Abstract: Examples disclosed herein are directed to a method and apparatus for determining a position of a ring within a process kit. In one example, a sensor assembly for a substrate processing chamber is provided. The sensor assembly includes a housing having a top surface, a bottom surface opposite the top surface, and a plurality of sidewalls connecting the top surface to the bottom surface. The housing also has a recess in the top surface, the recess forming an interior volume within the housing. The sensory assembly includes a bias member, and a contact member disposed on the bias member. The bias member and contact member are disposed within the recess. A sensor is configured to detect a displacement of the contact member. The displacement of the contact member corresponds to a relative position of an edge ring.
    Type: Grant
    Filed: June 18, 2021
    Date of Patent: August 8, 2023
    Inventors: Andrew Myles, Andreas Schmid, Phillip A. Criminale, Steven E. Babayan
  • Patent number: 11705356
    Abstract: A mounting table includes a base member, having a rear surface and a front surface facing the rear surface, in which a coolant path is formed, a groove portion having a bottom surface within the base member being annularly formed on the front surface, the base member being divided into a cylindrical inner base member portion positioned at an inner side of the groove portion and an annular outer base member portion positioned at an outer side of the groove portion by the groove portion; an annular focus ring supported by the outer base member portion, the annular focus ring having, at an inner side surface thereof, a protrusion that is protruded radially and inwardly to cover the groove portion; a first heat transfer member provided between the mounting surface and the coolant path; and the second heat transfer member provided between the focus ring and the coolant path.
    Type: Grant
    Filed: July 10, 2020
    Date of Patent: July 18, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kyouhei Yamamoto, Taira Takase
  • Patent number: 11699612
    Abstract: A substrate fixing device includes: a base plate; and an electrostatic chuck that is fixed to the base plate to adsorb a substrate by electrostatic force. The electrostatic chuck includes: an adsorption layer that is formed of ceramic and that contacts the substrate to adsorb and hold the substrate; a first heating layer that is formed on the adsorption layer and that includes a first electrode; a second heating layer that is formed on the first heating layer and that includes a second electrode; and a via that is provided between the first electrode and the second electrode to electrically connect the first electrode and the second electrode to each other. The via includes a body portion, and an end portion that is connected to the body portion. A diameter of the end portion is larger than that of the body portion.
    Type: Grant
    Filed: November 11, 2021
    Date of Patent: July 11, 2023
    Assignee: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventors: Keiichi Takemoto, Yoichi Harayama, Hiroyuki Asakawa, Takahiro Rokugawa
  • Patent number: 11688590
    Abstract: An electrostatic-chuck heater is of a Johnsen-Rahbek type and is used in a process of forming a conductive film on a wafer. The electrostatic-chuck heater includes a disc-shaped ceramic base including an electrostatic electrode and a heating resistor, and a hollow shaft attached to a side of the ceramic base that is opposite a side having a wafer-mounting surface. A through-hole extends in a peripheral wall of the hollow shaft from a lower end through to an area of the wafer-mounting surface that is on an inner side with respect to a circular groove. The through-hole allows gas to be supplied from the lower end of the hollow shaft into a below-wafer space enclosed by the wafer-mounting surface, an outermost projection group, and the wafer mounted on the wafer-mounting surface.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: June 27, 2023
    Assignee: NGK INSULATORS, LTD.
    Inventors: Yutaka Unno, Reo Watanabe
  • Patent number: 11679412
    Abstract: Methods for plasma depositing polymers comprising cyclic siloxanes and related articles and compositions are generally provided. In some embodiments, the methods comprise flowing a precursor gas in proximity to a substrate within a PECVD reactor, wherein the precursor gas comprises an initiator and at least one monomer comprising a cyclic siloxane and at least two vinyl groups, and depositing a polymer formed from the at least one monomer on the substrate.
    Type: Grant
    Filed: February 24, 2022
    Date of Patent: June 20, 2023
    Assignee: GVD Corporation
    Inventors: W. Shannan O'Shaughnessy, Scott W. Morrison, R. Austin Nowak
  • Patent number: 11676849
    Abstract: Embodiments of substrate carriers and method of making the same are provided herein. In some embodiments, a substrate carrier includes a substantially planar body formed of an upper layer stacked on a lower layer; and a plurality of pockets formed in the substantially planar body each of which includes a support surface surrounding the pocket for supporting a substrate.
    Type: Grant
    Filed: November 5, 2019
    Date of Patent: June 13, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Vijay D. Parkhe
  • Patent number: 11676847
    Abstract: A substrate placing table according to an exemplary embodiment includes a base and an electrostatic chuck provided on the base. The electrostatic chuck includes a lamination layer portion, an intermediate layer, and a covering layer. The lamination layer portion is provided on the base. The intermediate layer is provided on the lamination layer portion. The covering layer is provided on the intermediate layer. The lamination layer portion includes a first layer, an electrode layer, and a second layer. The first layer is provided on the base. The electrode layer is provided on the first layer. The second layer is provided on the electrode layer. The intermediate layer is provided between the second layer and the covering layer and is in close contact with the second layer and the covering layer. The second layer is a resin layer. The covering layer is ceramics.
    Type: Grant
    Filed: October 17, 2022
    Date of Patent: June 13, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Satoshi Taga, Naoyuki Satoh, Tatsuo Nishita
  • Patent number: 11670483
    Abstract: A gas generation system for an ion implantation system has a hydrogen generator configured to generate hydrogen gas within an enclosure. A chuck, such as an electrostatic chuck, supports a workpiece in an end station of the ion implantation system, and a delivery system provides the hydrogen gas to the chuck. The hydrogen gas can be provided through the chuck to a backside of the workpiece. Sensors can detect a presence of the hydrogen gas within the enclosure. A controller can control the hydrogen generator. An exhaust system can pass air through the enclosure to prevent a build-up of the hydrogen gas within the enclosure. A purge gas system provides a dilutant gas to the enclosure. An interlock system can control the hydrogen generator, delivery system, purge gas system, and exhaust system to mitigate hydrogen release based on a signal from the one or more sensors.
    Type: Grant
    Filed: April 28, 2020
    Date of Patent: June 6, 2023
    Assignee: Axcelis Technologies, Inc.
    Inventor: Joseph Ferrara
  • Patent number: 11666952
    Abstract: Methods of semiconductor processing may include performing a process on a semiconductor substrate. The semiconductor substrate may be seated on a substrate support positioned within a processing region of a semiconductor processing chamber. The methods may include flowing a first backside gas through the substrate support at a first flow rate. The methods may include removing the semiconductor substrate from the processing region of the semiconductor processing chamber. The methods may include performing a plasma cleaning operation within the processing region of the semiconductor processing chamber. The methods may include flowing a second backside gas through the substrate support at a second flow rate. At least a portion of the second backside gas may flow into the processing region through accesses in the substrate support.
    Type: Grant
    Filed: March 6, 2020
    Date of Patent: June 6, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Stephen D. Prouty, Martin Perez-Guzman, Sumanth Banda, Rajinder Dhindsa, Alvaro Garcia de Gorordo
  • Patent number: 11664198
    Abstract: A plasma processing apparatus includes a conductive mounting table, a conductive member, and a first insulating member. The conductive mounting table has a mounting portion on which a substrate is mounted and a stepped portion positioned lower than the mounting portion. The conductive member is disposed on the stepped portion and extends outward over an outer periphery of the mounting table. Further, a first insulating member is disposed on or above an upper surface of the conductive member.
    Type: Grant
    Filed: March 26, 2020
    Date of Patent: May 30, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hirofumi Ohta, Hidetoshi Hanaoka, Ayuta Suzuki
  • Patent number: 11626272
    Abstract: A sputtering equipment is adapted for sputtering substrates, where each of the substrates includes two opposite main surfaces and side surfaces connecting the two main surfaces. The sputtering equipment includes a cavity, at least one target set and a carrier box. The at least one target set is disposed in the cavity, the target set includes targets, and the targets are staggered at both side surfaces of an axis. The carrier box is movably disposed so as to enter and exit the cavity, and includes substrate accommodating grooves. The substrates are adapted for being placed in the substrate accommodating grooves of the carrier box, and at least one side surface of each of the substrates is located outside the carrier box and protrudes toward the at least one target set.
    Type: Grant
    Filed: July 8, 2021
    Date of Patent: April 11, 2023
    Assignee: Au Optronics Corporation
    Inventors: Chun-Yueh Hou, Hao-An Chuang
  • Patent number: 11610792
    Abstract: Embodiments of substrate supports for use in a process chamber are provided herein. In some embodiments a substrate support for use in a process chamber includes a pedestal having an upper surface for supporting a substrate and an opposite lower surface, a first heater disposed within the pedestal between the upper surface and the lower surface, and thermal baffles having a plurality of voids that are fluidly isolated from each other disposed between the first heater and the lower surface to reduce heat transfer from the first heater to the lower surface of the pedestal.
    Type: Grant
    Filed: August 12, 2020
    Date of Patent: March 21, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Fred Eric Ruhland, Sumit S. Patankar
  • Patent number: 11600470
    Abstract: Exemplary semiconductor processing chambers may include a chamber body including sidewalls and a base. The chambers may include a substrate support extending through the base of the chamber body. The substrate support may include a support platen configured to support a semiconductor substrate. The substrate support may include a shaft coupled with the support platen. The substrate support may include a shield coupled with the shaft of the substrate support. The shield may include a plurality of apertures defined through the shield. The substrate support may include a block seated in an aperture of the shield.
    Type: Grant
    Filed: December 27, 2019
    Date of Patent: March 7, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Venkata Sharat Chandra Parimi, Satish Radhakrishnan, Xiaoquan Min, Sarah Michelle Bobek, Sungwon Ha, Prashant Kumar Kulshreshtha, Vinay Prabhakar
  • Patent number: 11591686
    Abstract: Methods of modulating flow during vapor jet deposition of organic materials are provided. A method may include ejecting a vapor entrained in a delivery gas from a nozzle onto a substrate upon which the vapor condenses. A confinement gas may be provided that has a flow direction opposing a flow direction of the delivery gas ejected from the nozzle. A vacuum source may be provided that is adjacent to a delivery gas aperture of the nozzle. The method may include adjusting, by an actuator, a fly height separation between a deposition nozzle aperture of the nozzle and a deposition target.
    Type: Grant
    Filed: October 28, 2020
    Date of Patent: February 28, 2023
    Assignee: Universal Display Corporation
    Inventors: Gregory McGraw, William E. Quinn, Matthew King, Elliot H. Hartford, Jr., Siddharth Harikrishna Mohan, Benjamin Swedlove, Gregg Kottas
  • Patent number: 11591717
    Abstract: A vapor phase epitaxial growth device comprises a reactor vessel and a wafer holder arranged within the reactor vessel. The wafer holder includes a wafer holding surface configured to hold a wafer with a wafer surface oriented substantially vertically downward. The device comprises a first material gas supply pipe configured to supply a first material gas and arranged below the wafer holding surface. The device comprises a second material gas supply pipe configured to supply a second material gas and arranged below the wafer holding surface. The device comprises a gas exhaust pipe configured to exhaust gases and arranged below the wafer holding surface. A distance between the gas exhaust pipe and an axis line passing through a center of the wafer holding surface is greater than distances between the axis line and each of the first material gas supply pipe and the second material gas supply pipe.
    Type: Grant
    Filed: September 7, 2018
    Date of Patent: February 28, 2023
    Assignees: NATIONAL UNIVERSITY CORPORATION NAGOYA UNIVERSITY, TOYODA GOSEI CO., LTD.
    Inventors: Shugo Nitta, Yoshio Honda, Kentaro Nagamatsu, Hiroshi Amano, Naoki Fujimoto
  • Patent number: 11594445
    Abstract: The present disclosure relates to a support ring for a thermal processing chamber. The support ring has a polysilicon coating. The polysilicon coating is formed using a plasma spray deposition process.
    Type: Grant
    Filed: March 6, 2019
    Date of Patent: February 28, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Jian Wu, Toshiyuki Nakagawa, Koji Nakanishi
  • Patent number: 11587821
    Abstract: A substrate support assembly suitable for use in a reactor including a common processing and substrate transfer region is disclosed. The substrate support assembly includes a susceptor and one or more lift pins that can be used to lower a substrate onto a surface of the susceptor and raise the substrate from the surface, to allow transfer of the substrate from the processing region, without raising or lowering the susceptor.
    Type: Grant
    Filed: July 31, 2020
    Date of Patent: February 21, 2023
    Assignee: ASM IP Holding B.V.
    Inventors: Eric Hill, John DiSanto
  • Patent number: 11581166
    Abstract: Embodiments of deposition rings for use in a process chamber are provided herein. In some embodiments, a deposition ring includes: an annular body; an inner wall extending upward from an inner portion of the annular body; and an outer wall extending upward form an outer portion of the annular body to define a large deposition cavity between the inner wall and the outer wall, wherein a width of the large deposition cavity is about 0.35 inches to about 0.60 inches, wherein the outer wall includes an outer ledge and an inner ledge raised with respect to the outer ledge.
    Type: Grant
    Filed: July 31, 2020
    Date of Patent: February 14, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Kirankumar Neelasandra Savandaiah, Jiao Song, David Gunther, Irena H. Wysok, Anthony Chih-Tung Chan
  • Patent number: 11574825
    Abstract: A method and apparatus for processing a semiconductor is disclosed herein. In one embodiment, a processing system for semiconductor processing is disclosed. The processing chamber includes two transfer chambers, a processing chamber, and a rotation module. The processing chamber is coupled to the transfer chamber. The rotation module is positioned between the transfer chambers. The rotation module is configured to rotate the substrate. The transfer chambers are configured to transfer the substrate between the processing chamber and the transfer chamber. In another embodiment, a method for processing a substrate on the apparatus is disclosed herein.
    Type: Grant
    Filed: September 30, 2019
    Date of Patent: February 7, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Tuan Anh Nguyen, Amit Kumar Bansal, Juan Carlos Rocha-Alvarez
  • Patent number: 11562914
    Abstract: Embodiments of the present disclosure generally relate to an apparatus for improving the film thickness on a substrate when using a heated substrate support. A cover plate to be placed over the top surface of a heated substrate support is disclosed. The cover plate includes a pocket formed in the middle thereof for the placement of a substrate. The cover plate may include a variety of features including a plurality of dimples, a plurality of radially disposed grooves, a plurality of annular grooves, lift pin holes, pin slots, and gas exhaust holes.
    Type: Grant
    Filed: April 12, 2021
    Date of Patent: January 24, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Muhammad M. Rasheed, Ilker Durukan
  • Patent number: 11540380
    Abstract: The disclosure relates to a flexible active species generator comprising: a first electrode of a conductive metal thin film; a second electrode of a ground electrode; a flexible dielectric layer of an insulator formed between the first electrode and the second electrode; and a plasma resistant functional layer formed between the dielectric layer and the second electrode, wherein the first electrode and the second electrode are electrically connected to an external power supply to generate an atmospheric pressure plasma to generate active species. The flexible active species generator has a plasma resistant function to prevent deformation and decomposition of an insulator caused by the plasma as well as an active species generating function from atmospheric pressure plasma, and has durability and safety, which is thus applicable to articles, foods, garments and human body in various forms.
    Type: Grant
    Filed: September 29, 2017
    Date of Patent: December 27, 2022
    Assignee: KOREA INSTITUTE OF MATERIALS SCIENCE
    Inventors: Seung Hoon Lee, Do Geun Kim, Sung Hoon Jung, Yu Ri Lee, Doo Ho Choi, Byoung Joon Kim
  • Patent number: 11533783
    Abstract: A plurality of heating zones in a substrate support assembly in a chamber is independently controlled. Temperature feedback from a plurality of temperature detectors is provided as a first input to a process control algorithm, which may be a closed-loop algorithm. A second input to the process control algorithm is targeted values of heater temperature for one or more heating zones, as calculated using a model. Targeted values of heater power needed for achieving the targeted values of heater temperature for the one or more heating zones is calculated. Chamber hardware is controlled to match the targeted value of heater temperature that is correlated with the wafer characteristics corresponding to the current optimum values of the one or more process parameters.
    Type: Grant
    Filed: July 18, 2019
    Date of Patent: December 20, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Mauro Cimino, Don Channa Kaluarachchi, Son Phi, Ramyashree Vishnuprasad, Dmitry Lubomirsky
  • Patent number: 11524315
    Abstract: An apparatus for treating a substrate includes a housing having a treatment space inside, a gas supply unit that supplies a hydrophobic gas into the treatment space to hydrophobicize the substrate, and a support unit that supports the substrate in the treatment space. The support unit includes a support plate, a heating member that heats the substrate placed on the support plate, and a height adjustment member that changes a position of the substrate between a first position spaced apart upward from an upper surface of the support plate by a first distance and a second position spaced apart upward from the upper surface of the support plate by a second distance, and the second position is a higher position than the first position.
    Type: Grant
    Filed: October 30, 2020
    Date of Patent: December 13, 2022
    Assignee: Semes Co., Ltd.
    Inventors: Jaeoh Bang, Kyungjin Seo, Youngseo An