Method and composition for the removal of residual materials during substrate planarization

- Applied Materials, Inc.

A method, composition, and computer readable medium for planarizing a substrate. In one aspect, the composition includes one or more chelating agents and ions of at least one transition metal, one or more surfactants, one or more oxidizers, one or more corrosion inhibitors, and deionized water. The composition may further comprise one or more agents to adjust the pH and/or abrasive particles. The method comprises planarizing a substrate using a composition including one or more chelating agents and ions of at least one transition metal. In one aspect, the method comprises processing a substrate disposed on a polishing pad including performing a first polishing process to substantially remove the copper containing material, performing a second polishing process to remove residual copper containing material, the second polishing process comprising delivering a CMP composition to the polishing pad, mixing one or more chelating agents and ions of at least one transition metal in situ with the CMP composition, and removing residual copper containing materials from the substrate surface. The invention also provides a computer readable medium bearing instructions for planarizing a substrate surface, the instructions arranged, when executed by one or more processors, to cause one or more processors to control a system to perform polishing the substrate to substantially remove copper containing material formed thereon and polishing the substrate with a CMP composition comprising one or more chelating agents and ions of at least one transition metal to remove residual copper containing material

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
BACKGROUND OF THE INVENTION

[0001] 1. Field of the Invention

[0002] The present invention relates generally to the fabrication of semiconductor devices and to chemical mechanical polishing and planarization of semiconductor devices.

[0003] 2. Background of the Related Art

[0004] In the fabrication of integrated circuits and other electronic devices, multiple layers of conducting, semiconducting, and dielectric materials are deposited on or removed from a surface of a substrate. Thin layers of conducting, semiconducting, and dielectric materials may be deposited by a number of deposition techniques. Common deposition techniques in modern processing include physical vapor deposition (PVD), also known as sputtering, chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), and now electrochemical plating (ECP).

[0005] As layers of materials are sequentially deposited and removed, the uppermost surface of the substrate may become non-planar across its surface and require planarization. Planarizing a surface, or “polishing” a surface, is a process where material is removed from the surface of the substrate to form a generally even, planar surface. Planarization is useful in removing undesired surface topography and surface defects, such as rough surfaces, agglomerated materials, crystal lattice damage, scratches, and contaminated layers or materials. Planarization is also useful in forming features on a substrate by removing excess deposited material, such as copper containing material, used to fill the features and to provide an even surface for subsequent levels of metallization and processing.

[0006] Chemical mechanical planarization, or chemical mechanical polishing (CMP), is common technique used to planarize substrates. CMP utilizes a chemical composition, typically a slurry or other fluid medium, for selective removal of material from substrates. In conventional CMP techniques, a substrate carrier or polishing head is mounted on a carrier assembly and positioned in contact with a polishing pad in a CMP apparatus. The carrier assembly provides a controllable pressure to the substrate urging the substrate against the polishing pad. The pad is moved relative to the substrate by an external driving force. Thus, the CMP apparatus effects polishing or rubbing movement between the surface of the substrate and the polishing pad while dispersing a polishing composition, or slurry, to effect both chemical activity and mechanical activity.

[0007] Conventional CMP processes are performed using an abrasive article, such as a polishing composition, or slurry, containing abrasive particles in a reactive solution with a conventional polishing pad. Alternatively, the abrasive article can be a fixed abrasive article, such as a fixed abrasive polishing pad, which may be used with a, CMP composition or slurry that does not contain abrasive particles, often referred to as an abrasive free composition. A fixed abrasive article typically comprises a backing sheet with a plurality of geometric abrasive composite elements adhered thereto. Alternatively, the CMP processes can be performed in the absence of an abrasive article, such as by the use of an abrasive free composition with a conventional polishing pad.

[0008] Conventionally, in polishing substrate features, such as a dual damascenes features formed by the deposition of a barrier layer in an aperture and, for example, a copper containing material disposed on the barrier layer, excess copper containing material is polished to the barrier layer, and then the barrier layer is polished to the underlying dielectric layer to form the feature. Excess copper containing material is broadly defined herein copper containing material deposited on the substrate in an amount more than sufficient to substantially fill features formed on the substrate surface. Generally, all of the excess copper containing material is removed from the surface of the barrier layer prior to polishing the barrier layer.

[0009] However, the interface between the copper containing material and the barrier layer is generally non-planar and is difficult to sufficiently remove all of the excess copper containing material. Additionally, the copper containing material polishing process often removes the excess copper containing material and the barrier materials at different rates which may result in the formation of topographical defects in the substrate surface while still not sufficiently removing excess copper containing material from the barrier layer. Further, some polishing compositions, such as some abrasive free polishing compositions, have difficulty removing a satisfactory amount of excess copper containing material from the substrate surface. As such, many copper removal processes result in residual copper containing material being retained on the barrier layer and substrate surface. The retention of residual copper containing material has been observed to detrimentally affect subsequent polishing processes and detrimentally affect the polish quality of the substrate surface.

[0010] One method to ensure removal of all the excess copper containing material before removing the barrier material is to overpolish the deposited copper containing material. Overpolishing may result in dishing of the copper containing material in the features formed on the surface of the substrate. Dishing occurs when a portion of the surface of a metal deposited in an aperture or other substrate structure formed in a dielectric layer is excessively polished resulting in the formation topographical defects, such as concavities or depressions in the copper containing material on the substrate surface, and can further lead to non-uniform removal of the barrier layer disposed thereunder. Dishing performance is used to describe the ability of a CMP composition or process to polish and planarize a surface without dishing or with reduced dishing of the surface. Additionally, in some CMP composition, such as some abrasive free compositions, the application of overpolishing techniques have not been completely successful in removing all of the excess copper containing material from the surface of the substrate.

[0011] Therefore, there exists a need for a method and CMP composition that reduces or removes residual copper containing materials during CMP processing.

SUMMARY OF THE INVENTION

[0012] The invention generally provides a method, composition, and computer readable medium for planarizing a substrate surface to remove copper containing material from a substrate surface in order to have a substrate surface free or substantially free of residual copper containing material. In one aspect, the invention provides a composition for planarizing a substrate, the composition comprising ions of at least one transition metal, one or more chelating agents, one or more surfactants, one or more oxidizers, one or more corrosion inhibitors, water, and combinations thereof. The composition may further include an agent to adjust the pH of the composition and/or abrasive particles.

[0013] In another aspect, the invention provides a method for removing residual copper containing materials from a substrate surface, the method comprising planarizing the substrate surface using a composition including one or more chelating agents and ions of at least one transition metal. The composition may further comprise one or more chelating agents, one or more surfactants, one or more oxidizers, one or more corrosion inhibitors, water, and combinations thereof. The composition may further include an agent to adjust the pH of the composition and/or abrasive particles.

[0014] In another aspect, the invention provides a method for processing a substrate, comprising providing a substrate to a polishing apparatus, polishing the substrate to substantially remove copper containing material formed thereon, and polishing the substrate with a CMP composition including one or more chelating agents and one or more copper salts to remove residual copper containing material.

[0015] Another aspect of the invention provides a method for processing a substrate disposed on a polishing pad, comprising performing a first polishing process on a substrate to substantially remove the copper containing material formed thereon, performing a second polishing process to remove residual copper containing material, the second polishing process comprising delivering a CMP composition to the polishing pad, mixing one or more chelating agents and ions of at least one transition metal in situ with the CMP composition, and removing residual copper containing materials from the substrate surface.

[0016] Another aspect of the invention provides a computer readable medium bearing instructions for planarizing a substrate surface, the instructions arranged, when executed by one or more processors, to cause one or more processors to control a system to perform polishing the substrate to substantially remove copper containing material formed thereon and polishing the substrate with a CMP composition comprising one or more chelating agents and ions of at least one transition metal to remove residual copper containing material.

BRIEF DESCRIPTION OF THE DRAWINGS

[0017] So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.

[0018] It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

[0019] FIG. 1 is a schematic perspective view of a chemical mechanical polishing apparatus;

[0020] FIGS. 2-4 are schematic diagrams illustrating one embodiment of a process for forming a feature on a substrate.

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT

[0021] The invention will be described below in reference to a planarizing process and compositions that can be carried out using chemical mechanical polishing process equipment, such as the Mirra® CMP System available from Applied Materials, Inc., as shown and described in U.S. Pat. No. 5,738,574, entitled, “Continuous Processing System for Chemical Mechanical Polishing,” the entirety of which is incorporated herein by reference to the extent not inconsistent with the invention. Although, the CMP processes and compositions are illustrated utilizing the Mirra® CMP System, any system enabling polishing of substrates using the compositions and methods described herein can be used to advantage. The following apparatus description is illustrative and should not be construed or interpreted as limiting the scope of the invention.

[0022] FIG. 1 is a schematic perspective view of a chemical mechanical polishing apparatus 20. The polishing apparatus 20 includes a lower machine base 22 with a table top 28 mounted thereon and a removable outer cover (not shown). The table top 28 supports a series of polishing stations, including a first polishing station 25a, a second polishing station 25b, a final polishing station 25c, and a transfer station 27. The transfer station 27 serves multiple functions, including, for example, receiving individual substrates 10 from a loading apparatus (not shown), washing the substrates, loading the substrates into carrier heads 80, receiving the substrates 10 from the carrier heads 80, washing the substrates 10 again, and transferring the substrates 10 back to the loading apparatus.

[0023] Each polishing station 25a-25c includes a rotatable platen 30 having a polishing pad 100 or 110 disposed thereon. Each platen 30 may be a rotatable aluminum or stainless steel plate connected to a platen drive motor (not shown). The polishing stations 25a-25c may include a pad conditioner apparatus 40. The pad conditioner apparatus 40 has a rotatable arm 42 holding an independently rotating conditioner head 44 and an associated washing basin 46. The pad conditioner apparatus 40 maintains the condition of the polishing pad so that it will effectively polish the substrates. Each polishing station may include a conditioning station if the CMP apparatus is used with other pad configurations.

[0024] The polishing stations 25a-25c may each have a slurry/rinse arm 52 that includes two or more supply tubes to provide one or more chemical compositions or slurries, chemical components, and/or water to the surface of the polishing pad. The slurry/rinse arm 52 delivers the one or more chemical slurries in amounts sufficient to cover and wet the entire polishing pad. Each slurry/rinse arm 52 also includes several spray nozzles (not shown) that can provide a high-pressure fluid rinse on to the polishing pad at the end of each polishing and conditioning cycle.

[0025] In one aspect of the invention, the slurry/rinse arm 52 includes a supply tube to provide a CMP composition to the polishing pad, and one or more additional supply tubes to provide additives or additional CMP composition components, such as one or more chelating agents or one or metal salts, to the polishing pad. The use of additional supply lines allows one or more chelating agents and/or one or metal salts to be mixed in situ with the CMP composition in the slurry/rinse arm 52 at the point of use or mixing of one or more chelating agents and/or one or metal salts with the CMP composition on the polishing pad during spraying of the CMP composition and additives through the spray nozzles.

[0026] Further, the use of additional supply lines allows individual components such as the one or more chelating agents and/or one or metal salts to be provided independently from one another to the polishing pad which provides control of the respective concentration of the additives in the CMP composition formed in situ at the point of use. Further, the use of multiple supply lines allows the use of multiple CMP composition in serial, such as an initial composition being provided to the polishing pad and a second CMP composition having one or more additives as described herein provided to the polishing pad.

[0027] Furthermore, two or more intermediate washing stations 55a, 55b, and 55c may be positioned between adjacent polishing stations 25a, 25b, and 25c to clean the substrate as it passes from one station to the next. While not shown, multiple supply tubes can be connected to the slurry/rinse arm for mixing at the slurry/rinse prior to delivery to the polishing pad. This allows in situ mixing of various compounds at the point of use.

[0028] A rotatable multi-head carousel 60 is positioned above the lower machine base 22. The carousel 60 includes four carrier head systems 70a, 70b, 70c, and 70d. Three of the carrier head systems receive or hold the substrates 10 by pressing them against the polishing pads 100 or 110 disposed on the polishing stations 25a-25c. One of the carrier head systems 70a-70d receives a substrate from and delivers a substrate 10 to the transfer station 27. The carousel 60 is supported by a center post 62 and is rotated about a carousel axis 64 by a motor assembly (not shown) located within the machine base 22. The center post 62 also supports a carousel support plate 66 and a cover 88.

[0029] The four carrier head systems 70a-70d are mounted on the carousel support plate 66 at equal angular intervals about the carousel axis 64. The center post 62 allows the carousel motor to rotate the carousel support plate 66 and orbit the carrier head systems 70a-70d about the carousel axis 64.

[0030] Each carrier head system 70a-70d includes one carrier head 80. A carrier drive shaft 78 connects a carrier head rotation motor 76 (shown by the removal of one quarter of the cover 68) to the carrier head 80 so that the carrier head 80 can independently rotate about its own axis. There is one carrier drive shaft 78 and motor 76 for each head 80. In addition, each carrier head 80 independently oscillates laterally in a radial slot 72 formed in the carousel support plate 66.

[0031] The carrier head 80 performs several mechanical functions. Generally, the carrier head 80 holds the substrate 10 against the polishing pad 100 or 110, evenly distributes a downward pressure across the back surface of the substrate 10, transfers torque from the drive shaft 78 to the substrate 10, and ensures that the substrate 10 does not slip out from beneath the carrier head 80 during polishing operations. A retaining ring (not shown) may be disposed on the carrier head to restrict lateral movement of the substrate as it is pressed against the polishing pad during polishing of the substrate on the polishing pad. The retaining ring includes an inner surface which contacts the substrate and a lower face which is in intimate contact with the polishing pad and polishing composition. Contact with the polishing pad can results in erosion of the retaining ring's lower surface. The retaining ring may comprise a ceramic, such as alumina, or comprise a metal, such as copper or a copper alloy.

Chemical Mechanical Polishing Process and Composition

[0032] Planarizing processes and compositions are provided to reduce or remove residual copper containing materials from a substrate surface being processed. In one aspect of the invention, one or more chelating agents and ions of at least one transition metal, such as metal ions derived from one or more metal salts, e.g., copper salts, are added to a chemical mechanical polishing composition. In one aspect of the invention, the one or more chelating agents and ions of at least one transition metal are added or mixed in situ with a CMP composition being applied to a polishing pad for polishing a substrate surface. Such an in situ mixed CMP composition may include one or more chelating agents, ions of at least one transition metal, one or more surfactants, one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, and deionized water. The CMP composition may also further include a pH adjusting agent and/or abrasive particles. The CMP composition is used in one aspect of the invention to remove excess copper containing material deposited to fill features formed on a substrate surface.

[0033] The one or more chelating agents may include compounds having one or more amine or amide groups, such as ethylenediaminetetraacetic acid, ethylenediamine or methylformamide. The one or more chelating agents may also include amino acids or amino acid derivatives, such as glycine, and carboxylic acids having one or more acids groups, such as citric acid or maleic acid. The one or more chelating agents can be present in an amount between about 0.02 volume percent (vol %) and about 4.0 vol % of the CMP composition. In one aspect of the invention, the chelating agent comprises between about 0.2 wt. % and about 1.5 wt. % of the CMP composition. The chelating agent chemically reacts with metal ions, such as from a metal salt, to form a metal complex which improves removal of material removed from the substrate surface.

[0034] In one aspect of the invention, the one or more chelating agents described above may be added to a CMP composition containing one or more chelating agents. The one or more chelating agents contained in the CMP composition may include those described above for the one or more chelating agents added to the CMP composition. The chelating agents added to the CMP composition may comprise the same chelating agent as in the CMP composition. For example, ethylenediamine may be added with a metal salt to a CMP composition already containing ethylenediamine as a chelating agent. Alternatively, the one or more chelating agents of the composition may be different chelating agents than those added to the composition. For example, ethylenediamine and a metal salt may be added to a CMP composition having citric acid as a chelating agent.

[0035] The ions of at least one transition metal may be derived from metal salts, such as copper salts, and are added to the composition to form a complex with the one or more chelating agents. The resulting complex improves removal of residual copper containing material from the substrate surface. Examples of suitable copper salts include copper sulfate, copper fluoborate, copper gluconate, copper sulfamate, copper sulfonate, copper pyrophosphate, copper chloride, copper cyanide, and combinations thereof. The copper salt can comprise a concentration between about 0.005 weight percent (wt. %) and about 1.0 wt. % of the CMP composition. Alternatively, the copper salts may be present in the CMP composition at a concentration between about 0.05 wt. % and about 0.2 wt. % of the CMP composition.

[0036] The surfactant may include one or more surfactants including anionic surfactants, Zweitter-ionic surfactants, multi-ionic surfactants, and combinations thereof. Surfactants are added to reduce or minimize re-deposition of the metal residues. Zweitter-ionic surfactants are described broadly herein as surfactants having both anionic and cationic functional groups, and which may have anionic and cationic properties in solutions, such as CMP compositions. Multi-ionic surfactants are defined herein as compounds which have multiple ionic groups in one molecule and which reduce the surface tension of the composition. Multi-ionic surfactants, such as dispersers, may also promote uniform and maximum separation of solids, such as by-products of the CMP process and abrasive particles in a composition.

[0037] Examples of surfactants include sodium salts of polyacrylic acid, e.g., comprising molecular weights from about 1,000 to about 20,000, potassium oleate, sulfosuccinates, sulfosuccinate derivatives, sulfonated amines, sulfonated amides, sulfates of alcohols, alkylanyl sulfonates, carboxylated alcohols, alkylamino propionic acids, alkyliminodipropionic acids, and combinations thereof. The anionic surfactants include potassium oleate, sulfosuccinates, sulfosuccinate derivatives, sulfates of alcohols, alkylanyl sulfonates, carboxylated alcohols, and combinations thereof. The Zweitter-ionic surfactants include sulfonated amines, sulfonated amides, alkylamino propionic acids, alkyliminodipropionic acids, and combinations thereof. The dispersers include sodium salts of polyacrylic acid, e.g., comprising molecular weights from about 1,000 to about 20,000. It is contemplated that other anionic surfactants, Zweitter surfactants, and multi-ionic surfactants may be used and the above described surfactants are illustrative and should not be construed or interpreted as limiting the scope of the invention.

[0038] The one or more surfactants can comprise a concentration between about 0.001 vol % and about 10 vol % of the CMP composition. A concentration between about 0.05 vol % and about 3 vol % of the surfactants is used in one embodiment of the CMP composition. CMP composition having between about 0.1 vol % and about 1 vol % may also be used.

[0039] The oxidizers can be any of various conventional oxidizers employed in CMP compositions and processes, such as hydrogen peroxide, ferric nitrate, or other compounds such as iodates. The oxidizers can be present in an amount between about 0.2 vol % and about 8.0 vol % of the CMP composition. A concentration between about 0.2 wt. % and about 8 wt. % of the oxidizers is used in one embodiment of the CMP composition.

[0040] Examples of corrosion inhibitors include any various organic compounds containing an azole group, such as benzotriazole, mercaptobenzotriazole, or 5-methyl-1-benzotriazole. The corrosion inhibitors can be present in an amount between about 0.02 vol % and about 1.0 vol % of the CMP composition.

[0041] The pH adjusting agent or agents can be present in an amount sufficient to adjust the pH of the CMP composition to a range between about 2.5 and about 11 and can comprise any of various bases, such as potassium hydroxide (KOH) or inorganic and/or organic acids, such as acetic acid, phosphoric acid, or oxalic acid. However, other chelating agents, oxidizers, corrosion inhibitors, and pH adjusting agents are contemplated for use with the invention. The above specified components are illustrative and should not be construed as limiting the invention.

[0042] Alternatively, embodiments of the invention may include adding abrasive particles to polishing compositions containing the one or more surfactants described herein for planarizing a substrate surface. The compositions containing abrasives particles may comprise an abrasive particle concentration of about 35 wt. % or less of the composition. Alternatively, a concentration between about 2 wt. % or less of abrasive particles is included in CMP compositions containing the one or more surfactants described herein. One example of a CMP composition having abrasive particles includes a colloidal suspension of silica (silicon oxide) particles, with, for example, an average size between about 20 nm and about 100 nm. Other forms of silica may be used including fumed silica having a particle size between about 100 nm and about 300 nm. Other abrasive components which may be used in CMP compositions include, but are not limited to, alumina, zirconium oxide, titanium oxide, cerium oxide, or any other abrasives known in the art and used in conventional CMP compositions.

[0043] In one embodiment of the invention described above, one or more chelating agents and one or more metal salts are added to a CMP composition used to reduce or remove residual copper containing material during polishing of a substrate surface. An example of a CMP composition described herein includes adding ethylenediamine to a concentration between about 0.2 vol % and about 1.5 vol % of the CMP composition performing the polishing process and adding copper sulfate to a concentration between about 0.05 wt. % and about 0.2 wt. % of the CMP composition performing the polishing process to a CMP composition including between about 0.05 vol % and about 0.4 vol % of sodium polymethacrylate, between about 0.2 vol % and about 1.5 vol % of ethylenediamine, between about 0.5 vol % and about 5.0 vol % hydrogen peroxide as the oxidizer, between about 0.02 vol % and about 0.3 vol % benzotriazole as the corrosion inhibitor, and phosphoric acid as the pH adjusting agent to produce a pH level between about 4 and about 8, and distilled water.

[0044] One example of a CMP process employs a polishing pressure between about 1 and about 8 psi, and a platen speed of about 20 to 120 rpm for a polishing duration of about 30 seconds to 2,000 seconds in the apparatus described above and shown in FIG. 1. The above described embodiment of the composition may contain about 1 wt. % or less of abrasive particles and be considered an abrasive free composition.

[0045] Additionally, The CMP compositions and processes described herein may remove layers and materials of aluminum, doped aluminum, nickel, doped nickel, tungsten, tungsten nitride, titanium, titanium nitride, and combinations thereof. It is further contemplated that other materials, including titanium-tungsten (TiW), titanium silicon nitride (TiSiN), tungsten silicon nitride (WSiN), and silicon nitride used for forming barrier layers with conductive materials, such as copper, may be removed by aspects of the invention.

[0046] While the following description refers to the removal of copper containing materials with a composition containing the one or more chelating agents and one or more copper salts, the invention contemplates the removal of conductive material, such as aluminum, by compositions including metal salts, such as the copper salts described herein, and chelating agents. Further, the invention contemplates polishing copper containing materials with a combination of copper salts and non-copper salts with the one or more chelating agents and compositions described herein.

[0047] FIGS. 2-4 are schematic diagrams illustrating one embodiment of a process for forming a feature on a substrate utilizing the compositions described herein.

[0048] Referring to FIG. 2, a dielectric layer 310, such as a silicon oxide or a carbon-doped silicon oxide, is formed on a substrate 300. A plurality of openings 311 are then patterned and etched into the dielectric in area A to form features for a dense array of conductive lines with area B remaining unetched. Typically, the openings 311 are spaced apart by a distance C which can be less than about 1 micron, such as about 0.2 micron, or greater than 10 microns, such as 20 microns. The openings 311 are formed in the dielectric layer 310 by conventional photolithographic and etching techniques. A barrier layer 312 of a tantalum containing material, such as tantalum (Ta) or tantalum nitride (TaN) for a copper metallization, is deposited conformally in openings 311 and on the upper surface of the dielectric layer 310. A copper layer 313 is then deposited at a thickness (D) between about 8,000 Å and about 18,000 Å. The material deposited outside the features or overdeposited on the features to ensure fill of the features is referred to as excess material.

[0049] Referring to FIG. 3, the substrate is exposed to a CMP process employing utilizing a polishing composition to substantially remove the copper layer 313 to the barrier layer 312. A CMP composition with a high copper removal compared to removal rate of the barrier layer 312 allows for removal of substantially all of the copper layer while minimizing removal of the TaN layer. The remaining residual copper 315 is illustrated by the dashed line.

[0050] Suitable compositions for copper removal are disclosed in co-pending U.S. patent application Ser. No. 09/543,777, filed on Apr. 5, 2000, U.S. patent application Ser. No. 09/544,281, filed on Apr. 6, 2000, and U.S. patent application Ser. No. 09/608,078, filed on Jun. 30, 2000, which are incorporated herein by reference to the extent not inconsistent with the invention. An example of one composition suitable for copper removal comprises a chelating agent, an oxidizer, a corrosion inhibitor, and deionized water. The composition may further include a surfactant, a pH adjusting agent, abrasive particles, and combinations thereof. An example of the composition is between about 0.2 vol % and about 3.0 vol % of one or more amine or amide groups, such as ethylenediaminetetraacetic acid, ethylenediamine or methylformamide as a chelating agent, between about 0.5 vol % and about 8.0 vol % of hydrogen peroxide, ferric nitrate, or other compounds as the oxidizer, between about 0.02 vol % and about 1.0 vol % of any various organic compounds containing an azole group, such as benzotriazole, mercaptobenzotriazole, or 5-methyl-1-benzotriazole as the corrosion inhibitor, a pH adjusting agent to provide a pH between about 2.5 and about 11, such as potassium hydroxide (KOH), acetic acid, phosphoric acid, or oxalic acid. The bulk CMP composition may further comprise up to about 35 wt. % of abrasive particles, such as silica.

[0051] A second CMP process, or second CMP step within the CMP process, is performed utilizing a composition containing the one or more chelating agents and the one or more metal salts described herein to remove the residual copper 315. For example, the one or more chelating agents and one or more metal salts may be added to the example composition used in reference to FIG. 3 to polish the substrate surface to remove the residual copper containing material.

[0052] In one aspect of the method described herein, copper containing materials including residue material is polished in a two-step process. A CMP composition is supplied to the polishing pad for a first period of time during polishing of the substrate to remove copper containing material, and then the one or more chelating agents and one or more metal salts, such as copper salts, are mixed with the CMP composition in situ at the point of use and used with the polishing pad for a second period of time during polishing of the substrate to remove residual copper containing material.

[0053] The CMP composition may comprise one or more surfactants including a anionic surfactant, a Zweitter-ionic surfactant, a multi-ionic surfactant, or combinations thereof, a chelating agent, an oxidizer, a corrosion inhibitor, and deionized water. The composition may further include an agent to adjust the pH level, and may also include an abrasive particle concentration of about 35 wt. % or less. An example of the initial composition is between about 0.1 vol % and about 0.2 vol % of sodium polymethacrylate as a surfactant, between about 0.3 vol % and about 3 vol % of ethylenediamine as a chelating agent, between about 0.5 vol % and about 5.0 vol % hydrogen peroxide as the oxidizer, between about 0.02 vol % and about 0.1 vol % benzotriazole as the corrosion inhibitor, and phosphoric acid as the pH adjusting agent to produce a pH level between about 4 and about 8, and distilled water. The first period of time is generally between about 30 seconds and about 300 seconds, and may vary upon the CMP composition or the CMP process utilized.

[0054] After the first period of time, the one or more chelating agents, for example ethylenediamine, and the one or more metal salts, for example copper sulfate, is then added in situ with the CMP composition to have a concentration between about 0.01 vol % and about 2 vol % of ethylenediamine and a concentration between about 0.02 vol % and about 1.0 vol % of copper sulfate in the CMP composition used to treat the substrate surface. The composition and additives are used with the polishing pad to polish the substrate for a second period of time. The second period time can be for a limited duration during the polishing process or can continue until the end of the copper polishing process. If desired, the addition of the one or more chelating agents and the one or more metal salts may be discontinued before the end of the polishing process. Also, the addition of one or more chelating agents and the one or more metal salts can be discontinued and resupplied periodically during the polishing process if desired to control the polishing process.

[0055] Referring to FIG. 4, the TaN barrier layer 312 is removed and the underlying dielectric layer 310 is exposed by a polishing process. The substrate surface, including the dielectric layer, may then be buffed by techniques known in the art to remove or reduce scratching or defects formed on the substrate surface, thereby completing planarization. The resulting copper features comprises a dense array (A) of copper lines 313 bordered by open field B and the planar surface 314 of the copper metallization and substrate 300.

[0056] It has been observed that the resulting features formed with the compositions described herein exhibit reduced amounts or an absence of residual copper containing material on the barrier layer and on the copper lines, and improved planarization of the surface of the substrate after removal of the barrier layer.

[0057] The exact operative mechanism by which the inventive composition including ions of at least one transition metal and one or more chelating agents facilitates removal of the residual copper containing material with minimal or no barrier layer removal, is not known with certainty. However, it is believed that the metal salts and chelating agents enhances the initiation of chemical reactions during CMP to enhance removal of copper containing material and allow removal of the residual copper containing material. It is believed that the metal salts disassociate in the CMP composition to form metal ions which react with the chelating agents to form complexes. These complexes then react with the residual material on the substrate surface to enhance remove the residual material from the substrate.

[0058] The invention contemplates the production of ions of at least one transition metal from sources other than metal salts. For example, ions of at least one transition metal may be generated in situ at the polishing pad. In one aspect of the invention a copper retaining ring is used to secure the substrate to the carrier head. During polishing, the retaining ring contacts the polishing pad and erodes under the friction from contacting the polishing pad to produce copper ions which form part of the CMP composition. Another aspect provides for a copper sheet disposed in the polishing pad, such as a copper sheet disposed between two layers forming the polishing pad. The upper layer of the polishing pad may have grooves, perforations, or apertures which expose the underlying copper sheet to the composition. The composition may then react with the polishing sheet to disassociate copper ions from the copper sheet. The invention further contemplates other methods and apparatus which provide for the production of ions of at least one transition metal in situ during a polishing process.

[0059] The CMP composition containing the one or more chelating agents and the ions of at least one transition metal also produced an improved polish quality without detrimentally affecting the performance of the CMP composition or subsequent CMP processes. The compositions described herein have been advantageously used in removing conductive materials, such as copper, from barrier layers, such as tantalum nitride, deposited on substrate surfaces.

[0060] The invention described herein is applicable to planarizing a substrate surface during various stages of semiconductor manufacturing by any of various CMP techniques using any of various CMP systems and polishing articles, such as abrasive free compositions with conventional pads or abrasive free compositions with fixed abrasive or abrasive slurry-type pads or sheets. The invention described herein enjoys particular applicability in the manufacture of high density semiconductor devices with metal features in the deep submicron range.

[0061] While the foregoing is directed to the one or more embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow including their equivalents.

Claims

1. A composition for planarizing a substrate, comprising:

ions of at least one transition metal;
one or more chelating agents;
one or more surfactants;
one or more oxidizers;
one or more corrosion inhibitors; and
water.

2. The composition of claim 1, wherein the one or more chelating agents are selected form the group of compounds having one or more amine or amide groups, amino acids, carboxylic acids having one or more acid groups, and combinations thereof.

3. The composition of claim 1, wherein the one or more chelating agents comprise between about 0.02 vol % and about 4 vol % of the composition.

4. The composition of claim 1, wherein the ions of at least one transition metal are derived from one or metal salts.

5. The composition of claim 4, wherein the one or more metal salts comprise a copper salt selected from the group of copper sulfate, copper fluoborate, copper gluconate, copper sulfamate, copper sulfonate, copper pyrophosphate, copper chloride, copper cyanide, and combinations thereof.

6. The composition of claim 5, wherein the copper salt comprises between about 0.005 wt. % and about 1.0 wt. % of the composition.

7. The composition of claim 1, wherein the surfactant comprises one or more anionic surfactants, Zweitter-ionic surfactants, multi-ionic surfactants, or combinations thereof.

8. The composition of claim 7, wherein the surfactant is selected from the group of sodium salts of polyacrylic acid, potassium oleate, sulfosuccinates, sulfosuccinate derivatives, sulfonated amines, sulfonated amides, sulfates of alcohols, alkylanyl sulfonates, carboxylated alcohols, alkylamino propionic acids, alkyliminodipropionic acids, and combinations thereof.

9. The composition of claim 1, wherein the surfactant comprises between about 0.001 vol % and about 10 vol % of the composition.

10. The composition of claim 1, further comprising an agent to adjust the pH.

11. The composition of claim 10, wherein the agent to adjust the pH is an acid selected from the group of acetic acid, phosphoric acid, oxalic acid, and combinations thereof.

12. The composition of claim 1, wherein the composition has a pH between about 2.5 and about 11.0.

13. The composition of claim 1, further comprising abrasive particles.

14. The composition of claim 13, wherein the abrasive particles comprise about 35 wt. % or less of the composition.

15. The composition of claim 13, wherein the abrasive particles comprise materials selected from the group of silica, alumina, titanium oxide, zirconium oxide, cerium oxide, and combinations thereof.

16. A method for removing residual copper containing materials from a substrate surface, the method comprising planarizing the substrate surface using a composition including one or more chelating agents and ions of at least one transition metal.

17. The method of claim 16, wherein the one or more chelating agents are selected form the group of compounds having one or more amine or amide groups, amino acids, carboxylic acids having one or more acid groups, and combinations thereof.

18. The method of claim 16, wherein the one or more chelating agents comprise between about 0.02 vol % and about 4 vol % of the composition.

19. The method of claim 16, wherein the ions of at least one transition metal are derived from one or metal salts.

20. The method of claim 19, wherein the one or more metal salts comprise a copper salt selected from the group of copper sulfate, copper fluoborate, copper gluconate, copper sulfamate, copper sulfonate, copper pyrophosphate, copper chloride, copper cyanide, and combinations thereof.

21. The method of claim 20, wherein the copper salt comprises between about 0.005 wt. % and about 1.0 wt. % of the composition.

22. The method of claim 16, wherein the composition further comprises one or more anionic surfactants, Zweitter-ionic surfactants, multi-ionic surfactants, or combinations thereof, one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, water, and combinations thereof.

23. The method of claim 22, wherein the anionic surfactants, Zweitter-ionic surfactants, multi-ionic surfactants are selected from the group of sodium salts of polyacrylic acid, potassium oleate, sulfosuccinates, sulfosuccinate derivatives, sulfonated amines, sulfonated amides, sulfates of alcohols, alkylanyl sulfonates, carboxylated alcohols, alkylamino propionic acids, alkyliminodipropionic acids, and combinations thereof.

24. The method of claim 22, wherein the surfactant comprises between about 0.001 vol % and about 10 vol % of the composition.

25. The method of claim 22, wherein the composition further comprises an agent to adjust the pH.

26. The method of claim 25, wherein the agent to adjust the pH is an acid selected from the group of acetic acid, phosphoric acid, oxalic acid, and combinations thereof.

27. The method of claim 22, wherein the composition further comprises abrasive particles.

28. The method of claim 27, wherein the abrasive particles comprises about 35 wt. % or less of the composition.

29. The method of claim 28, wherein the abrasive particles comprise materials selected from the group of silica, alumina, titanium oxide, zirconium oxide, cerium oxide, and combinations thereof.

30. A method for processing a substrate, comprising:

providing a substrate to a polishing apparatus;
polishing the substrate to substantially remove copper containing material formed thereon; and
polishing the substrate with a CMP composition comprising one or more chelating agents and one or more copper salts to remove residual copper containing material.

31. The method of claim 30, wherein the one or more chelating agents are selected form the group of compounds having one or more amine or amide groups, amino acids, carboxylic acids having one or more acid groups, and combinations thereof.

32. The method of claim 30, wherein the one or more chelating agents comprise between about 0.02 vol % and about 4 vol % of the CMP composition.

33. The method of claim 30, wherein the one or more copper salts are selected from the group of copper sulfate, copper fluoborate, copper gluconate, copper sulfamate, copper sulfonate, copper pyrophosphate, copper chloride, copper cyanide, and combinations thereof.

34. The method of claim 33, wherein the copper salt comprises between about 0.005 wt. % and about 1.0 wt. % of the CMP composition.

35. The method of claim 30, wherein the CMP composition further comprises one or more anionic surfactants, Zweitter-ionic surfactants, multi-ionic surfactants, or combinations thereof, one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, water, and combinations thereof.

36. The method of claim 35, wherein the one or more anionic surfactants, Zweitter-ionic surfactants, multi-ionic surfactants, or combinations thereof are selected from the group of comprise sodium salts of polyacrylic acid, potassium oleate, sulfosuccinates, sulfosuccinate derivatives, sulfonated amines, sulfonated amides, sulfates of alcohols, alkylanyl sulfonates, carboxylated alcohols, alkylamino propionic acids, alkyliminodipropionic acids, and combinations thereof.

37. The method of claim 35, wherein the one or more anionic surfactants, Zweitter-ionic surfactants, multi-ionic surfactants, or combinations thereof comprise between about 0.001 vol % and about 10 vol % of the CMP composition.

38. The method of claim 35, wherein the CMP composition further comprises an agent to adjust the pH.

39. The method of claim 38, wherein the agent to adjust the pH is an acid selected from the group of acetic acid, phosphoric acid, oxalic acid, and combinations thereof.

40. The method of claim 35, wherein the CMP composition further comprises abrasive particles.

41. The method of claim 40, wherein the abrasive particles comprises about 35 wt. % or less of the CMP composition.

42. The method of claim 40, wherein the abrasive particles comprise about 2 wt. % or less of the CMP composition.

43. The method of claim 40, wherein the abrasive particles comprise materials selected from the group of silica, alumina, titanium oxide, zirconium oxide, cerium oxide, and combinations thereof.

44. A method for processing a substrate disposed on a polishing pad, comprising:

performing a first polishing process to substantially remove copper containing material from the substrate;
performing a second polishing process to remove copper containing material residue from the substrate, the second polishing process comprising:
delivering a CMP composition to the polishing pad;
mixing one or more chelating agents and ions of at least one transition metal in situ with the CMP composition; and
removing copper containing material residue from the substrate.

45. The method of claim 44, wherein the CMP composition comprises one or more anionic surfactants, Zweitter-ionic surfactants, multi-ionic surfactants, or combinations thereof, one or more chelating agents, one or more oxidizers, one or more corrosion inhibitors, water, and combinations thereof.

46. The method of claim 45, wherein the CMP composition further comprises abrasive particles.

47. The method of claim 44, wherein the one or more chelating agents are selected form the group of compounds having one or more amine or amide groups, amino acids, carboxylic acids having one or more acid groups, and combinations thereof.

48. The method of claim 44, wherein the one or more chelating agents comprise between about 0.02 vol % and about 4 vol % of the CMP composition.

49. The method of claim 44, wherein the ions of at least one transition metal are derived from one or metal salts.

50. The method of claim 49, wherein the one or more metal salts comprise a copper salt selected from the group of copper sulfate, copper fluoborate, copper gluconate, copper sulfamate, copper sulfonate, copper pyrophosphate, copper chloride, copper cyanide, and combinations thereof.

51. The method of claim 50, wherein the copper salt comprises between about 0.005 wt. % and about 1.0 wt. % of the composition.

52. The method of claim 44, wherein mixing the one or more chelating agents and one or more metal salts in situ with the second CMP composition occurs between about 30 seconds and about 300 seconds after the first CMP composition is delivered to the polishing pad.

53. The method of claim 44, wherein the ions of at least one transition metal are derived from the erosion of a substrate retaining ring during the second polishing process.

54. The method of claim 44, wherein the ions of at least one transition metal are derived from the composition reacting with a copper source disposed in a polishing pad during the second polishing process.

55. A computer readable medium bearing instructions for planarizing a substrate surface, the instructions arranged, when executed by one or more processors, to cause one or more processors to control a system to perform:

(a) polishing the substrate to substantially remove copper containing material formed thereon; and
(b) polishing the substrate with a CMP composition comprising one or more chelating agents and ions of at least one transition metal to remove residual copper containing material.

56. The computer readable medium of claim 55, wherein the instructions are arranged for polishing the substrate to substantially remove copper containing material formed thereon is conducted on a rotating or linear polishing pad mounted on a first platen.

57. The computer readable medium of claim 56, wherein the instructions are arranged for polishing the substrate with a CMP composition comprising one or more chelating agents and ions of at least one transition metal to remove residual copper containing material is conducted on a rotating or linear polishing pad mounted on a first platen or on a second platen.

58. The computer readable medium of claim 55, wherein the instructions are further arranged for polishing the substrate with the CMP composition by mixing the one or more chelating agents and one or more metal salts in situ with other components of the CMP composition between about 30 seconds and about 300 seconds after the other components of the CMP composition are delivered to a polishing pad.

Patent History
Publication number: 20020068454
Type: Application
Filed: Dec 1, 2000
Publication Date: Jun 6, 2002
Applicant: Applied Materials, Inc.
Inventors: Lizhong Sun (San Jose, CA), Stan Tsai (Fremont, CA), Shijian Li (San Jose, CA)
Application Number: 09729132
Classifications
Current U.S. Class: Simultaneous (e.g., Chemical-mechanical Polishing, Etc.) (438/692)
International Classification: H01L021/302; H01L021/461;