Plasma RIE polymer removal

A method for removal of post reactive ion etch by-product from a semiconductor wafer surface or microelectronic composite structure comprising:

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
BACKGROUND OF THE INVENTION

[0001] 1. Field of Invention

[0002] The invention relates to a process for post reactive ion etching (RIE) polymer removal on an organic low K dielectric through the use of reducing plasma chemistry. The process removes extensive post RIE polymer on an organic low K dielectric material by use of a reducing gas plasma and comprises either a forming gas mixture of (N2/H2) or an ammonia/hydrogen (NH3/H2) mixture to completely remove the post reactive ion etch by-product from a wafer surface or a Via structure prior to a wet chemical clean. The removal is achieved without significant removal of the organic, low K dielectric material that is exposed to the gas plasma.

[0003] 2. Description of the Related Art

[0004] Existing post RIE plasma clean solutions use oxidizing chemistries; however, these chemistries cannot be utilized with organic low constant (K) dielectrics due to the extremely poor selectivity of the polymer strip/clean to the organic low K dielectric material.

[0005] In particular, a case in point is the accomplishment of post RIE polymer cleaning by utilizing a chromic-phosphoric acid tank bath or other solvent based chemistry. In this process, there is a certain time window of approximately 4 hours between completion of the RIE process and the wet cleaning step.

[0006] However, some drawbacks of currently existing wet chemical cleaning methods are as follows: an acid based chemistry (without any HF in it) is not efficient in removing polymer that have high silicon content (as found in metal pads located in the support region); and a solvent based chemistry generally takes a longer process time (approximately 10 minutes as compared to 2 to 4 minutes for a typical acid based clean) and typically is encumbered as a result of costs and environmental disposal requirements.

[0007] U.S. Pat. No. 5,780,359 disclose polymer removal from top surfaces and sidewalls of a semiconductor wafer by: initiating a flow of feed gas comprising fluorine-containing gases upstream from the process chamber; applying the feed gas means for producing a plasma; supplying effluents of the plasma in the form of reactive species separated from the plasma to the process chamber; applying radio frequency energy to the wafer in the process chamber to generate a lower intensity plasma therein and accompanying wafer self-biasing; applying a magnetic field that rotates slowly in the horizontal plane to the process chamber during the step of applying radio frequency energy to the wafer to enhance plasma generation; and

[0008] stripping the photoresist and polymer residues from the top surfaces and sidewalls of the post metal-etch wafer with the reactive species and the lower intensity plasma; wherein the pressure in the process chamber is greater than 100 milliTorr.

[0009] A process for removal of post-RIE polymer on Al/Cu metal line is disclosed in U.S. Pat. 5,980,770. The process entails: supplying a mixture of an etching gas and an acid neutralizing gas into a vacuum chamber in which the composite structure is supported to form a water soluble material of sidewall polymer rails left behind on the Al/Cu metal line from the RIE process; removing the water soluble material with deionized water; and removing photo-resist from the composite structure by either a water-only plasma process of the chemical down stream etching method; or forming a water-only plasma process to strip the photoresist layer of a semiconductor or microelectronic composite structure previously subjected to a RIE process; supplying a mixture of an etching gas and an acid neutralizing gas into a vacuum chamber on which the structure is supported to form a water soluble material of the sidewall polymer rails left behind on the Al/Cu metal line from the RIE process; and removing the water-soluble material with deionized water.

[0010] U.S. Pat. No. 6,009,888 disclose a method of stripping photoresist and polymer from a wafer after a dry etch, comprising: forming a photoresist pattern over a semiconductor structure; immersing the substrate in a bath comprising (a) peroxydisulfate (S2O82−) (bisulfate), (2) hydrochloric acid (HCI) and (3) water; and agitating the semiconductor structure in the solution; said bath being at a temperature in a range of between about 90 and 100° C.; irradiating the semiconductor structure, and the photoresist pattern with a UV laser with a wave length between about 150 nm and 300 nm, and with an energy between about 4 and 8.0 eV and laser pulses in a range of between about 10 and 10,000 per wafer to remove the photoresist.

[0011] Previous approaches for removing RIE polymer from semiconductor materials used no post RIE clean, and this led to high contact resistance and reliability failures.

[0012] Alternatively, when previous approaches utilized wet chemical only clean, this caused severe contamination of the wet chemical bath from delaminating fluoropolymer on the wafer surface deposited during RIE processes.

[0013] There is a need in the art of cleaning RIE etched materials to remove fluoropolymer/hydrocarbon from the surface of an organic low k dielectric material without excessive removal of organic dielectric material.

SUMMARY OF THE INVENTION

[0014] One object of the present invention is to provide a process to completely remove post-reactive ion etch (RIE) by-product from a wafer surface or Via structure prior to wet chemical clean.

[0015] Another object of the present invention is to provide a process for removing post-reactive ion etch (RIE) by-product from a wafer surface or Via structure prior to a wet chemical clean by utilizing a reducing gas plasma incorporating a forming gas mixture of N2/H2.

[0016] A further object of the present invention is to provide a process to completely remove post-reactive ion etch (RIE) by-product from a wafer surface or Via structure prior to a wet chemical clean by utilizing a reducing gas plasma incorporating a forming gas mixture of NH3/H2.

[0017] A still further object of the present invention is to provide a process for completely removing post-reactive ion etch (RIE) by-product from a wafer surface or Via structure prior to a wet chemical clean without significant removal of an organic, low dielectric constant material which is exposed to either a reducing gas plasma incorporating either a forming gas mixture of N2/H2 or NH3/H2.

[0018] A yet further object of the present invention is to provide a process for completely removing post-reactive ion etch (RIE) fluoropolymer strip from either single or dual damascene structures by utilizing a reducing gas plasma incorporating either a forming gas mixture of N2/H2 or NH3/H2.

BRIEF DESCRIPTION OF THE DRAWINGS

[0019] FIG. 1 is a diagram showing a wafer surface previously etched and on which a post RIE fluoropolymer remnant is disposed on an organic low K dielectric.

DESCRIPTION OF THE PREFERRED EMBODIMENTS

[0020] The foregoing and other objects and advantages of the invention will be better understood by resort to the following detailed description of the preferred embodiments of the invention.

[0021] In previous processes for post RIE plasma clean solutions, the use of oxidizing chemistries are well known; however, these chemistries cannot be utilized with organic low K dielectrics due to the fact that there is extremely poor selectivity of the polymer strip/clean to the low K dielectric material.

[0022] On the other hand, when previous approaches to removing RIE fluoropolymer used no post RIE clean, this led to high contact resistance and reliability failures. Or, when wet chemical only clean was utilized to remove RIE fluoropolymer on wafer surfaces deposited during the RIE process, this caused severe contamination of the wet chemical bath due to delamination of the fluoropolymer on the wafer surface.

[0023] In the context of the invention, with the current trend towards the use of hard mask (silicon dioxide and silicon nitride layers), the use of the reducing gas plasma chemistry following the RIE process enables removal of the post-RIE polymer to be achieved efficiently without significant removal of an organic, low dielectric constant material which is exposed to the gas plasma.

[0024] In this connection, reference is made to FIG. 1 which depicts a wafer surface comprising metal 10 on which there is an organic low k dielectric material 11, a silicon nitride layer 12 and a silicon dioxide layer 13, the latter two of which may constitute hard masks. Following post reactive ion etching, a fluoropolymer layer 14 remains and is in need of removal prior to completion of the process for forming the semiconductor wafer or chip.

[0025] After the exposure and development of the photo-resist, and the use of RIE to define the metal line, the post RIE fluoropolymer 14 of the composite chip is subjected to a reducing gas plasma that incorporates a forming gas mixture of N2/H2 to completely remove the post-reactive ion etch fluoropolymer by-product from the wafer surface prior to the use of a wet chemical clean. The removal of the post-reactive ion etch fluoropolymer by-product is accomplished without significant removal (<200 Å) of the organic, low dielectric constant material 11, which has also been exposed to the gas plasma of the forming gas mixture of N2/H2.

[0026] In a further embodiment of the invention process, the reducing gas plasma incorporates a forming gas mixture of NH3/H2 to completely remove the post-reactive ion etch fluoropolymer by-product from the wafer surface prior to a wet chemical clean. Significantly, this removal is also achieved without significant removal (<200 Å) of the organic, low dielectric constant material which has also been exposed to the gas plasma.

[0027] In the present invention, use of the particular reducing gas plasma incorporating a forming gas mixture of N2/H2 or NH3/H2 is important to have a good process as a post-clean, since removing the fluoropolymer prevents enhancement of corrosion of the metal line; however, the reducing gas plasma incorporating the forming gas mixture of the invention, unlike existing post RIE plasma clean solutions is very selective with respect to removal of the fluoropolymer while only removing an insignificant amount of the organic low k dielectric.

[0028] Accordingly, the prior art process of not being able to use any post RIE clean (on the basis that it would led to high contact resistance and reliability failures) or the prior art use of a wet chemical clean only that would cause severe contamination of the wet chemical bath from delamination of the fluoropolymer on the wafer surface (deposited during the RIE process) are avoided.

[0029] While the invention process of utilizing a reducing gas plasma incorporating either a forming gas mixture of N2/H2 or NH3/H2 to completely remove post reactive ion etch polymer by-product from a wafer surface, the invention is equally as useful for particularly removing post reactive ion etch polymer by-product from Via structures prior to a wet chemical clean.

Claims

1. A method for removal of post reactive ion etch by-product from a semiconductor wafer surface or microelectronic composite structure comprising:

supplying a reducing gas plasma incorporating a forming gas mixture selected from the group consisting of a mixture of N2/H2 or a mixture of NH3/H2 into a vacuum chamber in which a semiconductor wafer surface or a microelectronic composite structure is supported to form a post-RIE polymer material by-product on the composite structure without significant removal of an organic, low K material which has also been exposed to said reducing gas plasma; and
removing said post-RIE polymer material by-product with a wet clean.

2. The method of claim 1 wherein said reducing gas plasma incorporating a forming gas mixture is a mixture of N2/H2.

3. The method of claim 1 wherein said reducing gas plasma incorporating a forming gas mixture is a mixture of NH3/H2.

4. The method of claim 1 wherein said composite structure is a Via structure.

5. The method of claim 1 wherein said semiconductor wafer surface is a dual damascene structure.

6. The method of claim 4 wherein said Via structure is a single damascene structure.

7. The method of claim 1 wherein said post-RIE polymer material byproduct is a fluoropolymer/hydrocarbon material.

8. The method of claim 7 wherein removal of said organic low K material is in an amount less than 200 Å.

9. A semiconductor wafer surface characterized by less than 200 Å of removal of organic low K material after removal of post reactive ion etch by-product with a reducing gas plasma that incorporates a forming gas mixture selected from the group consisting of a mixture of N2/H2 or a mixture of NH3/H2.

Patent History
Publication number: 20020088476
Type: Application
Filed: Jan 10, 2002
Publication Date: Jul 11, 2002
Applicant: Infineon Technologies North America Corp.
Inventors: Andy Cowley (Wappingers Falls, NY), Peter Emmi (White Plains, NY), Timothy Dalton (Ridgefield, CT), Christopher Jahnes (Upper Saddle River, NJ)
Application Number: 10043751
Classifications
Current U.S. Class: Semiconductor Cleaning (134/1.2)
International Classification: B08B006/00;