Composition and associated method for oxide chemical mechanical planarization

A composition and associated method for oxide chemical mechanical planarization (or other polishing) are described. The composition and associated method afford high oxide removal rates while they simultaneously afford low levels of defectivity, haze, and scratching during polishing (e.g., CMP). The composition comprises an abrasive, a fluoride salt, and an acetylenic alcohol.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

[0001] The application claims the benefit of U.S. Provisional Application Serial No. 60/432,358 filed 9 Dec. 2002.

BACKGROUND OF THE INVENTION

[0002] The present invention pertains to a composition and associated methods that are useful for chemical mechanical planarization (chemical mechanical polishing, CMP) and in particular are useful for oxide CMP.

[0003] Chemical mechanical planarization (chemical mechanical polishing, CMP) is now widely known to those skilled in the art and has been described in numerous patents and open literature publications. Some introductory references on CMP are as follows: “Polishing Surfaces for Integrated Circuits”, by B. L. Mueller and J. S. Steckenrider, Chemtech, February, 1998, pages 38-46; and H. Landis et al., Thin Solids Films, 220 (1992), page 1.

[0004] In a typical CMP process, a substrate (e.g., a wafer) is placed in contact with a rotating polishing pad attached to a platen. A CMP slurry, typically an abrasive and chemically reactive mixture, is supplied to the pad during CMP processing of the substrate. During the CMP process, the pad (fixed to the platen) and substrate are rotated while a wafer carrier system or polishing head applies pressure (downward force) against the substrate. The slurry accomplishes the planarization (polishing) process by chemically and mechanically interacting with the substrate film being planarized due to the effect of the rotational movement of the pad relative to the substrate. Polishing is continued in this manner until the desired film on the substrate is removed with the usual objective being to effectively planarize the substrate (both metallic and dielectric portions).

[0005] In oxide CMP a slurry is used to planarize films comprised of oxide dielectric material (e.g., silicon dioxide). In shallow trench isolation (STI) CMP a slurry is used to planarize structures comprised of oxide dielectric material and silicon nitride. Furthermore, it is desired that the oxide CMP and STI CMP slurry compositions and associated methods afford planarized substrates characterized to have low defectivity levels, low haze levels, and low levels of scratching.

[0006] During oxide CMP and STI CMP, considerable amounts of particles are generated from the abraded substrate materials as debris during the planarization process. These particles, in addition to undersized abrasive particles present in the CMP slurry, are high energy and may adhere to the planarized wafer oxide layer as contaminant causing defects. The particles generated from the abraded substrate materials, in addition to oversized abrasive particles present in the CMP slurry, may cause undesirable scratching on the polished/planarized oxide surface. Therefore, it is desirable to prevent debris particles generated during polishing from the abraded substrate materials, from adhering too strongly to the planarized oxide surface.

[0007] Oxide CMP compositions comprising an abrasive(s), such as colloidal silica, colloidal alumina, are known.

[0008] The use of ammonium fluoride in post-CMP cleaning compositions is known. The use of various surfactants in metal CMP compositions as well as post-CMP cleaning compositions is known.

[0009] While the above compositions are useful in various respects, these prior art compositions (and their associated methods) are not suitable for use in oxide CMP of a substrate comprised of a dielectric oxide material (e.g., silicon dioxide) to afford a high removal rate of oxide during CMP processing while simultaneously affording low levels of defectivity, haze, and scratching (as is highly desirable in semiconductor manufacture). There is a need for compositions (and associated methods) that provide high removal rates for oxide removal during CMP while simultaneously also affording low levels of defectivity, haze and scratching. The present invention provides a solution to this significant need.

BRIEF SUMMARY OF THE INVENTION

[0010] The invention in one embodiment is a synergistic polishing composition comprising:

[0011] a) an abrasive;

[0012] b) a fluoride salt; and

[0013] c) an acetylenic alcohol.

[0014] In another embodiment, the invention is a method for chemical mechanical planarization or chemical mechanical polishing of a substrate comprised of dielectric material, the method comprising the steps of:

[0015] A) providing a substrate comprised of dielectric material in contact with a polishing pad;

[0016] B) providing a composition for chemical mechanical planarization comprising a) an abrasive; b) a fluoride salt; and c) an acetylenic alcohol; and

[0017] C) polishing the substrate with the composition to effect at least partial planarization of the substrate.

DETAILED DESCRIPTION OF THE INVENTION

[0018] This invention provides a composition and an associated method that are useful for chemical mechanical planarization (chemical mechanical polishing, CMP) and which afford low levels of defectivity, haze, and scratching while simultaneously afford high removal rates of dielectric material during CMP processing of substrates comprised of dielectric material in fabricating semiconductor chips/devices.

[0019] In one embodiment, the invention is a polishing composition comprising an abrasive, a fluoride salt (e.g., ammonium fluoride), and an acetylenic alcohol (e.g., Surfynol® 104, which is 2,4,7,9-tetramethyl-5-decyn-4,7-diol, Air Products and Chemicals, Allentown, Pa.).

[0020] Suitable abrasives include, but are not limited to, silica, ceria, and titania. Colloidal silica is preferred. The counter-ion associated with colloidal silica is not limited and can be, for example, ammonium, potassium, or sodium. Potassium is preferred. The level of abrasive is not limited but typically is in the range from about 10 weight percent to about 35 weight percent. For colloidal silica the level of abrasive is preferably is in the range from about 20 weight percent to about 30 weight percent.

[0021] Suitable fluoride salts include ammonium fluoride as well as metal fluoride salts such as sodium fluoride and potassium fluoride. Ammonium fluoride is preferred. The level of fluoride salt according to this invention is relatively low and typically will be in the range from about 0.005 weight percent up to about 0.1 weight percent. Raising the level above about 0.1 weight percents leads to gelation of potassium-stabilized silica sols, such as Syton® OX-K (DuPont Air Products NanoMaterials L.L.C., Carlsbad, Calif.).

[0022] Both mono-hydroxy and poly-hydroxy acetylenic alcohols can be used in this invention. A preferred (acetylenic) alkyne alcohol compound for the composition of this invention preferably has at least two hydroxyl substituents. A suitable alkyne for use in this invention has at least one alkyne (—C≡C—) functionality but may have more than one. A suitable alkyne is a C4-C22 alkyne, and a preferred alkyne is a C12-C16 alkyne. A particularly preferred alkyne compound is 2,4,7,9-tetramethyl-5-decyn-4,7-diol. When an alkyne having fewer than 4 carbon atoms is in the composition, it is disadvantageous because it is a poor nucleophile, and consequently the effectiveness of its assisting in debris removal from the substrate during CMP processing is reduced. When an alkyne having greater than about 22 carbon atoms is chosen, it is disadvantageous because its very low (poor) solubility prevents its use in water-based CMP slurries. The level of the acetylenic (alkyne) alcohol component in the composition of this invention is relatively low and typically is in the range from about 0.005 weight percent to about 0.03 weight percent. Above about 0.03 weight percent, it has been found that there results a significantly reduced oxide removal rate. Below about 0.005 weight percent, the level of surfactant is too low to be effective in preventing debris buildup on the substrate and assisting in its removal during CMP processing.

[0023] pH of the composition of this invention is not limited and can be chosen to be that corresponding to an acidic, a basic, or a neutral value as desired for oxide CMP.

[0024] The associated methods of this invention entail use of the aforementioned composition (as disclosed supra) for chemical mechanical planarization or chemical mechanical polishing of substrates comprised of dielectric material. In the methods, a substrate (e.g., a wafer) is placed face-down on a polishing pad which is fixedly attached to a rotatable platen of a CMP polisher. In this manner, the substrate to be polished is placed in direct contact with the polishing pad. A wafer carrier system or polishing head is used to hold the substrate in place and to apply a downward pressure against the backside of the substrate during CMP processing while the platen and the substrate are rotated. The polishing composition (slurry) is applied (usually continuously) on the pad during CMP processing to effect the removal of material to planarize the substrate.

[0025] The composition and associated methods of this invention are effective for chemical mechanical polishing of a wide variety of substrates, including substrates having dielectric portions that comprise materials having dielectric constants less than 3.3 (low-k materials). Suitable low-k films in substrates include, but are not limited to, organic polymer, carbon-doped oxides, fluorinated silicon glass (FSG), inorganic porous oxide-like materials, and hybrid organic-inorganic materials. Representative low-k materials and deposition methods for these materials are summarized below. 1 Deposition Vendor Trade Name Method Material Air Products and MesoElk ® Spin-on Hybrid organic- Chemicals inorganic Applied Materials Black Diamond CVD Carbon-doped oxide Dow Chemical SiLK ™, Spin-on Organic polymer Porous SiLK ™ Honeywell NANOGLASS ® E Spin-on Inorganic oxide-like Electronic Materials Novellus Systems CORAL ® PECVD Carbon-doped oxide PECVD = Plasma enhanced chemical vapor deposition CVD = chemical vapor deposition

[0026] While not being bound by any particular theory, the inventor believes that the following considerations may explain why a polishing composition comprising an abrasive, a fluoride salt, and an acetylenic alcohol acts synergistically and is very effective for oxide CMP while affording low levels of defectivity, haze, and scratching.

[0027] During oxide CMP and STI CMP, considerable amounts of particles are generated from the abraded substrate materials as debris during the planarization process. These particles, in addition to undersized abrasive particles present in the CMP slurry, are high energy negatively-charged particles and they tend to adhere to the planarized substrate (e.g., wafer) oxide layer as contaminant causing defects. The particles generated from the abraded substrate materials, in addition to oversized abrasive particles present in the CMP slurry, may cause undesirable scratching of the polished/planarized oxide surface during CMP. Therefore, it is desirable to prevent debris particles generated during polishing and abrasive particles from adhering too strongly to the planarized oxide surface. This is accomplished in this invention due to the synergistic combination of the fluoride salt and acetylenic alcohol components of the composition. Due to the high molecular mobility of these additives in the dissolved aqueous phase of a slurry, these dissolved additives are readily effective at the substrate and facilitate removal of the negatively charged debris and abrasive particles from the dielectric wafer which is stabilized with potassium or ammonium ions. The synergy between these two additives blocks the adhesion of debris particles to the wafer surface. As a result of these favorable interactions with substrate debris, the polished dielectric surface has low defectivity, low haze, and a low level of scratching.

[0028] As is illustrated in the examples below, there is a synergistic interaction of the ammonium salt and acetylenic alcohol components of the composition of this invention that affords high oxide removal rates and simultaneously affords low levels of defectivity, haze, and scratching during CMP processing.

[0029] Glossary

[0030] Å: angstrom(s)—a unit of length

[0031] BP: back pressure, in psi (pounds per square inch) units

[0032] CMP: chemical mechanical planarization=chemical mechanical polishing

[0033] CS: carrier speed

[0034] DF: Down force: pressure applied during chemical mechanical planarization, units psi

[0035] min: minute(s)

[0036] ml: milliliter(s)

[0037] mV: millivolt(s)

[0038] PETEOS: plasma enhanced deposition of tetraethoxy silane, dielectric oxide layer.

[0039] psi: pounds per square inch

[0040] PAD IC-1400: polishing pad used during CMP, supplied by Rodel, Inc, Phoenix, Ariz.

[0041] PS: platen rotational speed of polishing tool, in rpm (revolution(s) per minute)

[0042] SF: slurry flow, ml/min

[0043] Surfynol® 104E—This commercial product is 2,4,7,9tetramethyl-5-decyn-4,7-diol in ethylene glycol in a 50:50 mixture, Air Products and Chemicals, Allentown, Pa.

[0044] (This 50:50 mixture is easy to handle during the preparation of CMP slurry formulations; hence, Surfynol® 104E was chosen over Surfynol® 104 for use in making the compositions used in the examples.)

[0045] Surfynol® 104—This commercial product is 2,4,7,9-tetramethyl-5-decyn-4,7-diol, Air Products and Chemicals, Allentown, Pa.

EXAMPLES

[0046] Chemical Mechanical Planarization (Chemical Mechanical Polishing, CMP) Methodology

[0047] In the examples presented below, CMP experiments were run using the procedures and experimental conditions given below.

[0048] Metrology

[0049] PETEOS thickness was measured with a Nanometrics, model # 9200, manufactured by Nanometrics Inc., 1550 Buckeye, Milpitas, Calif. 95035. Twenty-five and forty nine-point polar scans were taken with the respective tools at 5-mm edge exclusion.

[0050] CMP Tool

[0051] The CMP tool that was used is an IPEC-Speedfam Avanti 472, manufactured by SpeedFam IPEC, 305 North 54th Street, Chandler, Ariz., 85226. A Rodel IC-1400-k groove pad, supplied by Rodel, Inc, 3804 East Watkins Street, Phoenix, Ariz., 85034, was used on the primary table with a Suba IV under-liner for the blanket wafer studies. Pads were broken-in by polishing twenty-five dummy oxide (deposited by plasma enhanced CVD from a TEOS precursor, PETEOS) wafers. In order to qualify the tool settings and the pad break-in, two PETEOS monitors were polished with Syton® OX-K colloidal silica, supplied by DuPont Air Products NanoMaterials L.L.C., at baseline conditions. During polishing, pad conditioning was done with a 4″ diamond grit, at 5 pounds of down force.

[0052] Wafers

[0053] Polishing experiments were conducted using PETEOS (plasma enhanced tetraethoxysilane) wafers. These blanket wafers were purchased from Silicon Valley Microelectronics, 1150 Campbell Ave Calif. 95126. The film thickness specifications are summarized below:

[0054] PETEOS: 15,000 Å on silicon

[0055] Defectivity Measurements Using Surfscan® 6420

[0056] Defectivities of the PETEOS wafers were measured using a Surfscan® 6420 Film Surface Analysis System, supplied by Tencore Corporation, Mountain View, Calif.; for every polished wafer, the defectivity count was measured at 0.18-micron and 0.13-micron resolution. The Surfscan® 6420 detects, counts, and sizes light point defects on semiconductor substrate materials, using laser beam scanning for detecting defects. Defectivity was also measured subsequently for the polished wafers using a hydrofluoric acid dip test method as described below. The total defectivity count values, which are the total light point defect counts for each wafer, are reported in Table 1.

[0057] Hydrofluoric Acid (HF) Dip Test for Measuring Defectivity on the Polished Wafer Surface

[0058] Defect counts on the polished wafer surfaces were measured using a HF dip test as follows: in a 5 gallon electronic grade plastic container, 4 gallons of electronic grade 1% HF solution was poured carefully with no agitation. The post-polish PETEOS wafers were then completely immersed in the 1% HF solution for 120 seconds. After the 120 seconds dip test, the HF cleaned wafers were removed from the plastic container, flushed multiple times with deionized water and defectivities were measured using a Surfscan® 6420.

Example 1 Control Experiment

[0059] Standard potassium-stabilized colloidal silica slurry Syton® OX-K (contains 30 weight-% abrasive) was used for polishing oxide wafers on the IPEC-Speedfam Avanti 472 using the following conditions:

[0060] Down force, DF=7.0 psi

[0061] Platen speed, PS=75 rpm

[0062] Carrier speed, CS=70 rpm

[0063] Back pressure, BP=1.0 psi.

[0064] Slurry flow rate, SF=170 ml/min

[0065] The defectivity data at 0.18-micron and 0.13-micron are summarized in Table 1.

Example 2 Syton® OX-K Reformulated with Ammonium Fluoride and Surfynol® 104E

[0066] Standard potassium-stabilized colloidal silica slurry Syton® OX-K was reformulated using the following components:

[0067] Components of mixture for preparing 12 Kg of formulated slurry:

[0068] 1) Ammonium fluoride (Sigma-Aldrich, P.O. Box 355, Milwaukee, Wis., 53201) as a 5% aqueous solution=9.0 grams

[0069] 2) Surfynol® 104E (Air Products and Chemicals, Inc., Allentown, Pa.)=1.2 grams

[0070] 3) Syton® OX-K colloidal silica=12,000 grams

[0071] Procedure for Mixing the Slurry, 12 Kg Batch Size

[0072] In a 5-gallon beaker, 12,000 grams of Syton® OX-K was transferred. Under agitation, 1.2 grams (0.01%) of Surfynol® 104E was added. The mixture was stirred for 20 minutes. To the mixture containing Surfynol® 104E and Syton® OX-K, 9.0 grams of an aqueous 5%-solution of ammonium fluoride was then added. At this point, the pH was measured and recorded as 10.6-10.7. This reformulated slurry was used for polishing experiments on the IPEC-Speedfam Avanti 472 under CMP tool conditions described in Example 1.

[0073] In Table 1, defectivity data measured for a reformulated composition containing Syton® OX-K and for a standard Syton® OX-K sample are tabulated. Clearly, the reformulated composition containing Syton® OX-K exhibited a significantly lower number of defects in relation to the standard Syton® OX-K sample (278 versus 134 at 0.13-micron). Table 1 also includes the defectivities measured after the “HF-dip” test. Clearly, the defectivity measured in control sample Example 1 was much higher than that measured in Example 4 for the reformulated sample (4598 versus 1632 at 0.13-micron). 2 TABLE 1 Pre-HF dip and post-HF Dip Defectivity Measurements for Polished Wafers. Slurry: Example 1 Example 2 pH 10.6 to 10.7 10.6 to 10.7 Pre-HF defectivity count at 0.18 micron 21 17 Pre-HF defectivity count at 0.13 micron 278 134 Post-HF defectivity count at 0.18 micron 241 91 Post-HF defectivity count at 0.13 micron 4598 1632 PETEOS Removal Rate (Å/min) 3707 3983

Claims

1. A polishing composition comprising:

a) an abrasive;
b) a fluoride salt; and
c) an acetylenic alcohol.

2. The polishing composition of claim 1 wherein the acetylenic alcohol has at least two hydroxyl substituents.

3. The polishing composition of claim 2 wherein the acetylenic alcohol is 2,4,7,9-tetramethyl-5-decyn-4,7-diol.

4. The polishing composition of claim 1 wherein the acetylenic alcohol is a C4-C22 alkyne.

5. The polishing composition of claim 4 wherein the acetylenic alcohol is a C12-C16 alkyne.

6. The polishing composition of claim 1 wherein the abrasive is a colloidal abrasive.

7. The polishing composition of claim 6 wherein the colloidal abrasive is colloidal silica.

8. The polishing composition of claim 1 wherein the fluoride salt is ammonium fluoride.

9. A method for chemical mechanical planarization of a substrate comprised of dielectric material, the method comprising the steps of:

A) providing a substrate comprised of dielectric material in contact with a polishing pad;
B) providing a composition for chemical mechanical planarization comprising a) an abrasive; b) a fluoride salt; and c) an acetylenic alcohol; and
C) polishing the substrate with the composition to effect at least partial planarization of the substrate.

10. The method of claim 9 wherein the acetylenic alcohol of the composition has at least two hydroxyl substituents.

11. The method of claim 10 wherein the acetylenic alcohol of the composition is 2,4,7,9-tetramethyl-5-decyn-4,7-diol.

12. The method of claim 9 wherein the acetylenic alcohol of the composition is a C4-C22 alkyne.

13. The method of claim 12 wherein the acetylenic alcohol of the composition is a C12-C16 alkyne.

14. The method of claim 9 wherein the abrasive of the composition is a colloidal abrasive.

15. The method of claim 14 wherein the colloidal abrasive is colloidal silica.

16. The method of claim 9 wherein the fluoride salt of the composition is ammonium fluoride.

Patent History
Publication number: 20040144038
Type: Application
Filed: Dec 8, 2003
Publication Date: Jul 29, 2004
Inventor: Junaid Ahmed Siddiqui (Richmond, VA)
Application Number: 10730527