Utilizing Particulate Abradant Patents (Class 438/693)
  • Patent number: 11795346
    Abstract: A polishing liquid composition for a silicon oxide film according to the present invention includes cerium oxide particles, a water-soluble macromolecular compound, and an aqueous medium, and the water-soluble macromolecular compound is a water-soluble macromolecular compound including a betaine structure, excluding carbobetaine homopolymers and sulfobetaine homopolymers. The water-soluble macromolecular compound is preferably a water-soluble macromolecular compound containing a constitutional unit A including a betaine structure, and a constitutional unit B that is a constitutional unit other than the constitutional unit A and contains at least one group of a primary amino group, a secondary amino group, a tertiary amino group, a quaternary ammonium group, and salts thereof.
    Type: Grant
    Filed: December 21, 2018
    Date of Patent: October 24, 2023
    Assignee: KAO CORPORATION
    Inventor: Yohei Uchida
  • Patent number: 11756838
    Abstract: Disclosed is a method of forming a semiconductor device. The method includes providing a precursor having a substrate and gate stacks over the substrate, wherein each of the gate stacks includes an electrode layer, a first hard mask (HM) layer over the electrode layer, and a second HM layer over the first HM layer. The method further includes depositing a dielectric layer over the substrate and the gate stacks and filling spaces between the gate stacks; and performing a first chemical mechanical planarization (CMP) process to partially remove the dielectric layer. The method further includes performing an etching process to remove the second HM layer and to partially remove the dielectric layer, thereby exposing the first HM layer. The method further includes performing a second CMP process to at least partially remove the first HM layer.
    Type: Grant
    Filed: July 30, 2021
    Date of Patent: September 12, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yu-Jen Shen, Ying-Ho Chen, Yung-Cheng Lu
  • Patent number: 11732157
    Abstract: A polishing composition includes an abrasive; an optional pH adjuster; a barrier film removal rate enhancer; a TEOS removal rate inhibitor; a cobalt removal rate enhancer; an azole-containing corrosion inhibitor; and a cobalt corrosion inhibitor.
    Type: Grant
    Filed: October 6, 2020
    Date of Patent: August 22, 2023
    Assignee: Fujifilm Electronic Materials U.S.A., Inc.
    Inventors: Yannan Liang, Bin Hu, Liqing Wen, Shu-Wei Chang
  • Patent number: 11718769
    Abstract: A chemical mechanical polishing composition includes water, colloidal silica abrasive particles with a silica core containing a nitrogen species, a cerium compound coating including cerium oxide, cerium hydroxide or mixtures thereof, and a positive zeta potential, optionally an oxidizing agent, optionally a pH adjusting agent, optionally a biocide and optionally a surfactant. The chemical mechanical polishing composition has a pH of less than 7. Also described is a method of polishing a substrate containing silicon dioxide and a method of making the composite colloidal silica particles with the coating of cerium oxide, cerium hydroxide or mixtures thereof. The chemical mechanical polishing composition can be used to enhance the removal of silicon dioxide from a substrate in an acid environment.
    Type: Grant
    Filed: February 23, 2022
    Date of Patent: August 8, 2023
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Yi Guo
  • Patent number: 11679467
    Abstract: An apparatus for performing a polishing process includes: a rotatable polishing pad; a temperature sensor configured to monitor a temperature on a top surface of the rotatable polishing pad; a first dispenser configured to dispense a first slurry that is maintained at a first temperature on the rotatable polishing pad; and a second dispenser configured to dispense a second slurry that is maintained at a second temperature on the rotatable polishing pad, wherein the second temperature is different from the first temperature so as to maintain the temperature on the top surface of the rotatable polishing pad at a substantially constant value.
    Type: Grant
    Filed: August 18, 2021
    Date of Patent: June 20, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chun-Hsi Huang, Huang-Chu Ko
  • Patent number: 11680186
    Abstract: This disclosure relates to a polishing composition that includes at least one abrasive; at least one nitride removal rate reducing agent, an acid or a base; and water. The at least one nitride removal rate reduce agent can include a hydrophobic portion containing a C4 to C40 hydrocarbon group; and a hydrophilic portion containing at least one group selected from the group consisting of a sulfinite group, a sulfate group, a sulfonate group, a carboxylate group, a phosphate group, and a phosphonate group; in which the hydrophobic portion and the hydrophilic portion are separated by zero to ten alkylene oxide groups. The polishing composition can have a pH of from about 2 to about 6.5.
    Type: Grant
    Filed: November 6, 2020
    Date of Patent: June 20, 2023
    Assignee: Fujifilm Electronic Materials U.S.A., Inc.
    Inventors: Eric Turner, Abhudaya Mishra, Carl Ballesteros
  • Patent number: 11658065
    Abstract: A method for CMP includes following operations. A metal layer is received. A CMP slurry composition is provided in a CMP apparatus. The CMP slurry composition includes at least a first oxidizer and a second oxidizer different from each other. The first oxidizer is oxidized to form a peroxidant by the second oxidizer. A portion of the metal layer is oxidized to form a first metal oxide by the peroxidant. The first metal oxide is re-oxidized to form a second metal oxide by the second oxidizer.
    Type: Grant
    Filed: June 15, 2020
    Date of Patent: May 23, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Ji Cui, Fu-Ming Huang, Ting-Kui Chang, Tang-Kuei Chang, Chun-Chieh Lin, Wei-Wei Liang, Chi-Hsiang Shen, Ting-Hsun Chang, Li-Chieh Wu, Hung Yen, Chi-Jen Liu, Liang-Guang Chen, Kei-Wei Chen
  • Patent number: 11621171
    Abstract: Provided is a method that is for polishing a silicon wafer by a polishing device using a carrier holding the silicon wafer, and that can reduce wear on the carrier. In this polishing method, a polishing liquid used in the polishing device contains 0.1-5 mass %, in terms of the concentration of silica, silica particles comprising: silica particles (A) having an average primary particle size of 4-30 nm as measured by BET, and having an (X2/X1) ratio of 1.2-1.8, where X2 (nm) represents an average particle size along the major axis thereof as calculated from a perspective projection image obtained using an electron beam, and X1 (nm) represents the average primary particle size as measured by BET; and silica particles (B) having an average primary particle size of more than 30 nm but not more than 50 nm as measured by BET, and having a (X2/X1) ratio of 1.2-1.
    Type: Grant
    Filed: September 20, 2019
    Date of Patent: April 4, 2023
    Assignee: NISSAN CHEMICAL CORPORATION
    Inventors: Hayato Yamaguchi, Yusuke Tanatsugu, Eiichiro Ishimizu
  • Patent number: 11604912
    Abstract: Embodiments of the present disclosure provide methods, apparatus, systems, computing devices, computing entities for setting deprocessing parameters used in conducting hardware deprocessing on a hardware.
    Type: Grant
    Filed: February 4, 2021
    Date of Patent: March 14, 2023
    Assignee: University of Florida Research Foundation, Incorporated
    Inventors: Mark M. Tehranipoor, Navid Asadi-Zanjani, Olivia Pauline Paradis, Nitin Varshney
  • Patent number: 11517999
    Abstract: A novel polishing apparatus which determines a polishing end point of a substrate on the basis of a strain of a constituent element of the polishing apparatus caused by friction between a substrate such as a wafer and a polishing pad. The polishing apparatus includes a rotatable polishing table which supports a polishing pad, a polishing head which presses the substrate against the polishing pad, a rotating shaft connected to the polishing head, a support structure which rotatably supports the rotating shaft, a strain measuring instrument which measures a strain of the support structure, and an end point detector which determines a polishing end point of the substrate on the basis of a change in the strain. The strain measuring instrument includes at least one strain sensor fixed to the support structure.
    Type: Grant
    Filed: February 3, 2020
    Date of Patent: December 6, 2022
    Assignee: EBARA CORPORATION
    Inventor: Akira Nakamura
  • Patent number: 11492709
    Abstract: An etchant composition and method for etching molybdenum from a microelectronic device at an etch rate are described. A microelectronic device is contacted with an etchant composition for a time sufficient to at least partially remove the molybdenum. The etchant composition comprises at least one oxidizing agent, at least one oxidizing agent stabilizer, and at least one base and has a pH of from 7.5 to 13. The etchant composition selectively removes molybdenum at an etch rate of 5-200 ?/min.
    Type: Grant
    Filed: April 14, 2021
    Date of Patent: November 8, 2022
    Assignee: ENTEGRIS, INC.
    Inventors: Atanu K. Das, Daniela White, Emanuel I. Cooper, Eric Hong, JeongYeol Yang, Juhee Yeo, Michael L. White, SeongJin Hong, SeungHyun Chae, Steven A. Lippy, WonLae Kim
  • Patent number: 11488832
    Abstract: Provided are a method and apparatus for final polishing of a silicon wafer. The method for final polishing includes: within a predetermined period of time remaining before completion of the final polishing, forming a hydrophilic silicon oxide film on a surface of the silicon wafer by using both a polishing slurry and an oxidizing solution as a polishing liquid.
    Type: Grant
    Filed: December 25, 2019
    Date of Patent: November 1, 2022
    Assignee: XUZHOU XINJING SEMICONDUCTOR TECHNOLOGY CO., LTD.
    Inventor: Jiazhen Zheng
  • Patent number: 11472984
    Abstract: A method of enhancing the removal rate of polysilicon from a substrate includes mixing an acid chemical mechanical polishing slurry containing water, an organic acid and an abrasive with an alkaline solution containing water, an abrasive, a low alkyl amine compound; providing a chemical mechanical polishing pad, having a polishing surface; creating dynamic contact at an interface between the polishing pad and the substrate; and dispensing the mixture of the chemical mechanical polishing slurry and the alkaline solution onto the polishing surface at or near the interface between the polishing pad and the substrate, wherein some of the polysilicon is polished away from the substrate.
    Type: Grant
    Filed: September 27, 2021
    Date of Patent: October 18, 2022
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Yi Guo
  • Patent number: 11421131
    Abstract: Provided is a polishing composition which contains a water-soluble polymer and is suitable for reducing LPDs. The polishing composition provided in this application includes an abrasive, a water-soluble polymer, and a basic compound. In the polishing composition, the content of a reaction product of a polymerization initiator and a polymerization inhibitor is 0.1 ppb or less of the polishing composition on a weight basis.
    Type: Grant
    Filed: October 19, 2018
    Date of Patent: August 23, 2022
    Assignees: FUJIMI INCORPORATED, TOAGOSEI CO., LTD.
    Inventors: Kohsuke Tsuchiya, Hisanori Tansho, Yusuke Suga, Taiki Ichitsubo, Takayuki Takemoto, Naohiko Saito, Michihiro Kaai
  • Patent number: 11421132
    Abstract: To provide a novel polishing composition capable of improving the polishing speed of metal (particularly, tungsten) and maintaining stability as a composition. A polishing composition contains abrasive grains; quaternary amine having at least one alkyl group having 2 or more carbon atoms or a salt thereof; and a liquid carrier, wherein a pH of the polishing composition is from 2 to 5, and a zeta potential of the abrasive grains in the polishing composition is adjusted to 15 mV or more and less than 40 mV over the entire range of pH 2 to pH 4.
    Type: Grant
    Filed: March 11, 2021
    Date of Patent: August 23, 2022
    Inventor: Takahiro Umeda
  • Patent number: 11401442
    Abstract: A polishing liquid is used for chemical mechanical polishing and includes colloidal silica; and an onium salt containing a cation, in which a content of the onium salt is more than 0.01% by mass, a zeta potential of the colloidal silica measured in a state where the colloidal silica is present in the polishing liquid is 15 mV or more, an electrical conductivity is 10 ?S/cm or more, and a pH is 2 to 4.
    Type: Grant
    Filed: July 23, 2020
    Date of Patent: August 2, 2022
    Assignee: FUJIFILM CORPORATION
    Inventor: Tetsuya Kamimura
  • Patent number: 11383351
    Abstract: A grinding apparatus includes a table that holds a workpiece, and a grinding unit including a grinding wheel mounted to a spindle. The grinding wheel has a grindstone formed by binding abrasive grains with a bonding agent. In addition, the grinding apparatus further includes: a supply unit that supplies grinding water to at least the grindstone when grinding the workpiece; and a light applying unit that is disposed adjacent to the table and that applies light to a grinding surface of the grindstone grinding the workpiece held by the table. The light applying unit includes a light emission section that emits light, and a diffusion preventive wall that surrounds the light emission section and prevents diffusion of the light.
    Type: Grant
    Filed: August 21, 2018
    Date of Patent: July 12, 2022
    Assignee: DISCO CORPORATION
    Inventors: Kenji Takenouchi, Takayuki Gawazawa
  • Patent number: 11384254
    Abstract: A chemical mechanical polishing composition includes water, colloidal silica abrasive particles with a silica core containing a nitrogen species, a cerium compound coating including cerium oxide, cerium hydroxide or mixtures thereof, and a positive zeta potential, optionally an oxidizing agent, optionally a pH adjusting agent, optionally a biocide and optionally a surfactant. The chemical mechanical polishing composition has a pH of less than 7. Also described is a method of polishing a substrate containing silicon dioxide and a method of making the composite colloidal silica particles with the coating of cerium oxide, cerium hydroxide or mixtures thereof. The chemical mechanical polishing composition can be used to enhance the removal of silicon dioxide from a substrate in an acid environment.
    Type: Grant
    Filed: April 15, 2020
    Date of Patent: July 12, 2022
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Yi Guo
  • Patent number: 11359113
    Abstract: A polishing liquid, which is used for chemical mechanical polishing, includes ceria particles having an average aspect ratio of 1.5 or more; and an anionic polymer or a cationic polymer, in which a pH of the polishing liquid is 3 to 8. In a case where the polishing liquid contains the anionic polymer, the polishing liquid further includes an inorganic acid or an organic acid including at least one group selected from the group consisting of a carboxylic acid group, a phosphoric acid group, a phosphonic acid group, and a sulfonic acid group.
    Type: Grant
    Filed: July 27, 2020
    Date of Patent: June 14, 2022
    Assignee: FUJIFILM Corporation
    Inventor: Tetsuya Kamimura
  • Patent number: 11346008
    Abstract: The invention provides compositions useful for selectively etching ruthenium and/or copper. The compositions comprise certain periodate compounds, alkylammonium or alkylphosphonium hydroxides, carbonate or bicarbonate buffers, and water, wherein the pH of the composition is about 9 to about 12.5. The compositions of the invention are effectively utilized in the method of the invention and have been found to be capable of etching Cu and Ru at similar rates, i.e., >20 ?/min, while minimizing etch rates of dielectrics (<2 ?/min).
    Type: Grant
    Filed: November 22, 2019
    Date of Patent: May 31, 2022
    Assignee: ENTEGRIS, INC.
    Inventors: Steven Lippy, Emanuel I. Cooper
  • Patent number: 11264250
    Abstract: Use of a chemical mechanical polishing (CMP) composition (Q) for chemical mechanical polishing of a substrate (S) comprising (i) cobalt and/or (ii) a cobalt alloy, wherein the CMP composition (Q) comprises (A) Inorganic particles (B) an anionic surfactant of the general formula (I) R-S wherein R is C5-C20-alkyl, C5-C20-alkenyl, C5-C20-alkylacyl or C5-C20-alkenylacyl and S is a sulfonic acid derivative, an amino acid derivative or a phosphoric acid derivative or salts or mixtures thereof (C) at least one amino acid, (D) at least one oxidizer (E) an aqueous medium and wherein the CMP composition (Q) has a pH of from 7 to 10.
    Type: Grant
    Filed: April 27, 2016
    Date of Patent: March 1, 2022
    Inventors: Robert Reichardt, Max Siebert, Yongqing Lan, Michael Lauter, Sheik Ansar Usman Ibrahim, Reza M Golzarian, Haci Osman Guevenc, Julian Proelss, Leonardus Leunissen
  • Patent number: 11186748
    Abstract: The present invention provides aqueous chemical mechanical planarization polishing (CMP polishing) compositions comprising one or more dispersions of a plurality of elongated, bent or nodular anionic functional colloidal silica particles or their mixture with one or more dispersions of anionic functional spherical colloidal silica particles, one or more amine carboxylic acids having an isoelectric point (pI) below 5, preferably, an acidic amino acid or pyridine acid, and, preferably, one or more ethoxylated anionic surfactants having a C6 to C16 alkyl, aryl or alkylaryl hydrophobic group, wherein the compositions have a pH of from 3 to 5. The compositions enable good silicon nitride removal and selectivity of nitride to oxide removal in polishing.
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: November 30, 2021
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Naresh Kumar Penta
  • Patent number: 11111413
    Abstract: A chemical-mechanical polishing slurry having high Silicon Nitride removal rate selectivity includes abrasive particles and a compound containing one or more carboxyl groups. The polishing slurry has high SiN removal rate, low TEOS removal rate, and high removal rate selectivity of SiN to TEOS. The polishing slurry can significantly reduce the defects on Oxide surface which has an excellent market application prospect.
    Type: Grant
    Filed: July 25, 2017
    Date of Patent: September 7, 2021
    Assignee: ANJI MICROELECTRONICS TECHNOLOGY (SHANGHAI) CO., LTD.
    Inventors: Wenting Zhou, Jianfen Jing
  • Patent number: 11091694
    Abstract: An etching composition includes an inorganic acid compound, a carboxylic acid compound, a sulfonic acid compound, a glycol compound, a nitrogen-containing dicarbonyl compound, a sulfate compound and water.
    Type: Grant
    Filed: September 23, 2019
    Date of Patent: August 17, 2021
    Assignee: SAMSUNG DISPLAY CO., LTD.
    Inventors: Jonghee Park, Kitae Kim, Jinseock Kim, Gyu-Po Kim, Hyun-Cheol Shin, Dae-Woo Lee, Sang-Hyuk Lee, Zheng Hong
  • Patent number: 11075070
    Abstract: A monocrystalline semiconductor wafers have an average roughness Ra of at most 0.8 nm at a limiting wavelength of 250 ?m, and an ESFQRavg of 8 nm or less given an edge exclusion of 1 mm. The wafers are advantageously produced by a method comprising the following steps in the indicated order: a) simultaneous double-side polishing of the semiconductor wafer, b) local material-removing processing of at least one part of at least one side of the semiconductor wafer using a fluid jet which contains suspended hard substance particles and which is directed onto a small region of the surface with the aid of a nozzle, wherein the nozzle is moved over that part of the surface which is to be treated in such a way that a predefined geometry parameter of the semiconductor wafer is improved, and c) polishing of the at least one surface of the semiconductor wafer.
    Type: Grant
    Filed: December 2, 2016
    Date of Patent: July 27, 2021
    Assignee: SILTRONIC AG
    Inventors: Klaus Roettger, Herbert Becker, Leszek Mistur, Andreas Muehe
  • Patent number: 11041097
    Abstract: A polishing composition and a method of fabricating a semiconductor device using the same, the polishing composition including an abrasive; a first additive that includes a C5 to C30 hydrocarbon including an amide group and a carboxyl group or a C5 to C30 hydrocarbon including two or more amine groups; and a second additive that includes a sulfonic acid, a sulfonate, or a sulfonate salt.
    Type: Grant
    Filed: October 23, 2019
    Date of Patent: June 22, 2021
    Assignees: SAMSUNG ELECTRONICS CO., LTD., Soulbrain Co., Ltd.
    Inventors: Kyung-il Park, Myeong Hoon Han, Sanghyun Park, Wonki Hur, Seungho Park, Hao Cui
  • Patent number: 10988635
    Abstract: A chemical mechanical polishing composition for polishing a substrate having copper, barrier, and dielectric layers includes a water based liquid carrier, cationic silica abrasive particles dispersed in the liquid carrier, and a triazole compound, wherein the polishing composition has a pH of greater than about 6 and the cationic silica abrasive particles have a zeta potential of at least 10 mV. The triazole compound is not benzotriazole or a benzotriazole compound. A method for chemical mechanical polishing a substrate including copper, barrier, and dielectric layers includes contacting the substrate with the above described polishing composition, moving the polishing composition relative to the substrate, and abrading the substrate to remove a portion of the copper, barrier, and dielectric layers from the substrate and thereby polish the substrate.
    Type: Grant
    Filed: December 4, 2018
    Date of Patent: April 27, 2021
    Assignee: CMC Materials, Inc.
    Inventors: Steven Kraft, Fernando Hung Low, Roman A. Ivanov, Steven Grumbine
  • Patent number: 10968366
    Abstract: A chemical mechanical polishing composition for polishing a substrate includes a liquid carrier and cationic metal oxide abrasive particles dispersed in the liquid carrier. The cationic metal oxide abrasive particles have a surface modified with at least one compound consisting of a silyl group having at least one quaternary ammonium group. A method for chemical mechanical polishing a substrate including a metal layer includes contacting the substrate with the above described polishing composition, moving the polishing composition relative to the substrate, and abrading the substrate to remove a portion of the metal layer from the substrate and thereby polish the substrate.
    Type: Grant
    Filed: December 4, 2018
    Date of Patent: April 6, 2021
    Assignee: CMC Materials, Inc.
    Inventors: Steven Kraft, Fernando Hung Low, Daniel Clingerman, Roman A. Ivanov, Steven Grumbine
  • Patent number: 10844325
    Abstract: A post chemical-mechanical-polishing (post-CMP) cleaning composition including: (A) polyethylene glycol (PEG) with a mass average molar mass (Mw) in the range of from 400 to 8,000 g/mol, (B) an anionic polymer selected from poly(acrylic acid) (PAA), acrylic acid-maleic acid copolymers, polyaspartic acid (PASA), polyglutamic acid (PGA), polyvinylphosphonic acid, polyvinylsulfonic acid, poly(styrenesulfonic acid), polycarboxylate ethers (PCE), PEG-phosphorous acids, and copolymers of the polymers thereof, and (C) water, where the pH of the composition is from 7.0 to 10.5.
    Type: Grant
    Filed: December 20, 2016
    Date of Patent: November 24, 2020
    Assignee: BASF SE
    Inventors: Christian Daeschlein, Max Siebert, Michael Lauter, Peter Przybylski, Julian Proelss, Andreas Klipp, Haci Osman Guevenc, Leonardus Leunissen, Roelf-Peter Baumann, Te Yu Wei
  • Patent number: 10844244
    Abstract: The present invention relates to a method for polishing an insulating film of a semiconductor element, comprising polishing an insulating film, which is formed by embedding a conductive pattern formed on a substrate, with a polishing slurry composition comprising a polishing agent including polishing particles; and a polishing additive composition comprising a dialkyldiallylammonium halide, a basic amino acid, a non-ionic surfactant, and a pH adjuster, thereby removing a step involved in the insulating film.
    Type: Grant
    Filed: December 21, 2018
    Date of Patent: November 24, 2020
    Inventors: Jong Ho Park, Myeong Hoon Han
  • Patent number: 10787592
    Abstract: Acid chemical mechanical polishing compositions and methods have enhanced defect inhibition and selectively polish silicon nitride over silicon dioxide in an acid environment. The acid chemical mechanic polishing compositions include poly(2-ethyl-2-oxazoline) polymers, anionic functional colloidal silica particles, amine carboxylic acids and have a pH of 5 or less.
    Type: Grant
    Filed: May 16, 2019
    Date of Patent: September 29, 2020
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, I
    Inventors: Naresh Kumar Penta, Kwadwo E. Tettey, Matthew Van Hanehem
  • Patent number: 10781343
    Abstract: An acid chemical mechanical polishing composition includes colloidal silica abrasive particles having a positive zeta potential, and select alkoxysilane succinic acid anhydride compounds to enhance the reduction of defects on dielectric materials of substrates such as silicon dioxide and silicon nitride. Also disclosed are methods for polishing a substrate with the acid chemical mechanical polishing composition to remove some of the dielectric materials such as silicon dioxide and silicon nitride.
    Type: Grant
    Filed: January 24, 2019
    Date of Patent: September 22, 2020
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, David Mosley
  • Patent number: 10777423
    Abstract: A planarization method and a CMP method are provided. The planarization method includes providing a substrate with a first region and a second region having different degrees of hydrophobicity or hydrophilicity and performing a surface treatment to the first region to render the degrees of hydrophobicity or hydrophilicity in proximity to that of the second region. The CMP method includes providing a substrate with a first region and a second region; providing a polishing slurry on the substrate, wherein the polishing slurry and the surface of the first region have a first contact angle, and the polishing slurry and the surface of the first region have a second contact angle; modifying the surface of the first region to make a contact angle difference between the first contact angle and the second contact angle equal to or less than 30 degrees.
    Type: Grant
    Filed: June 8, 2018
    Date of Patent: September 15, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Tung-Kai Chen, Ching-Hsiang Tsai, Kao-Feng Liao, Chih-Chieh Chang, Chun-Hao Kung, Fang-I Chih, Hsin-Ying Ho, Chia-Jung Hsu, Hui-Chi Huang, Kei-Wei Chen
  • Patent number: 10763119
    Abstract: This disclosure relates to a polishing composition that includes at least one abrasive; at least one nitride removal rate reducing agent, an acid or a base; and water. The at least one nitride removal rate reduce agent can include a hydrophobic portion and a hydrophilic portion; in which the hydrophobic portion includes a C12 to C40 hydrocarbon group and is separated by zero to ten alkylene oxide groups from the hydrophilic portion. The polishing composition has a pH of about 2 to about 6.5, and can have a ratio of a removal rate for a silicon oxide to a removal rate for a silicon nitride of at least about 3:1 when polishing a patterned wafer comprising at least silicon nitride patterns overlayed with at least silicon oxide.
    Type: Grant
    Filed: March 18, 2019
    Date of Patent: September 1, 2020
    Assignee: Fujifilm Electronic Materials U.S.A., Inc.
    Inventors: Carl Ballesteros, Abhudaya Mishra, Eric Turner
  • Patent number: 10759970
    Abstract: This disclosure relates to a polishing composition that includes at least one abrasive; at least one nitride removal rate reducing agent, an acid or a base; and water. The at least one nitride removal rate reduce agent can include a hydrophobic portion containing a C12 to C40 hydrocarbon group; and a hydrophilic portion containing at least one group selected from the group consisting of a sulfinite group, a sulfate group, a sulfonate group, a carboxylate group, a phosphate group, and a phosphonate group; in which the hydrophobic portion and the hydrophilic portion are separated by zero to ten alkylene oxide groups. The polishing composition can have a pH of about 2 to about 6.5.
    Type: Grant
    Filed: March 18, 2019
    Date of Patent: September 1, 2020
    Assignee: Fujifilm Electronic Materials U.S.A., Inc.
    Inventors: Eric Turner, Abhudaya Mishra, Carl Ballesteros
  • Patent number: 10745589
    Abstract: Chemical mechanical polishing (CMP) compositions, methods and systems for polish cobalt or cobalt-containing substrates are provided. The CMP compositions comprise ?-alanine, abrasive particles, a salt of phosphate, corrosion inhibitor, oxidizer and water. The cobalt chemical mechanical polishing compositions provide high removal rate of Co as well as very high selectivity of Co film vs. dielectric film, such as TEOS, SixNy (with 1.0<x<3.0, 1.33<y<4.0), low-k, and ultra low-k films.
    Type: Grant
    Filed: June 6, 2017
    Date of Patent: August 18, 2020
    Assignee: Versum Materials US, LLC
    Inventors: Xiaobo Shi, Joseph Rose, Timothy Joseph Clore, James Allen Schlueter, Malcolm Grief, Mark Leonard O'Neill
  • Patent number: 10696869
    Abstract: A polishing composition capable of suppressing surface defects and reducing haze is provided. The polishing composition includes: abrasives; at least one water-soluble polymer selected from vinyl alcohol-based resins having a 1,2-diol structural unit; a polyalcohol; and an alkali compound. Preferably, the polishing composition further includes a non-ionic surfactant.
    Type: Grant
    Filed: October 21, 2016
    Date of Patent: June 30, 2020
    Assignee: NITTA HAAS INCORPORATED
    Inventors: Noriaki Sugita, Shuhei Matsuda, Takayuki Matsushita, Mika Tazuru
  • Patent number: 10696932
    Abstract: This disclosure relates to a composition (e.g., a cleaning and/or stripping composition) containing (a) 0.5-25 percent by weight an alkaline compound; (b) 1-25 percent by weight an alcohol amine compound; (c) 0.1-20 percent by weight a hydroxylammonium compound; (d) 5-95 percent by weight an organic solvent; (e) 0.1-5 percent by weight a corrosion inhibitor compound; and (f) 2-25 percent by weight water.
    Type: Grant
    Filed: July 28, 2016
    Date of Patent: June 30, 2020
    Assignee: Fujifilm Electronic Materials U.S.A., Inc.
    Inventors: Raj Sakamuri, Ognian N. Dimov, Ahmad A. Naiini, Sanjay Malik, Binod B. De, William A. Reinerth
  • Patent number: 10669449
    Abstract: Chemical Mechanical Planarization (CMP) polishing compositions comprising composite particles, such as ceria coated silica particles, offer low dishing, low defects, and high removal rate for polishing oxide films. Chemical Mechanical Planarization (CMP) polishing compositions have shown excellent performance using soft polishing pad.
    Type: Grant
    Filed: September 9, 2018
    Date of Patent: June 2, 2020
    Assignee: VERSUM MATERIALS US, LLC
    Inventors: Hongjun Zhou, Jo-Ann Theresa Schwartz, Malcolm Grief, Xiaobo Shi, Krishna P. Murella, Steven Charles Winchester, John Edward Quincy Hughes, Mark Leonard O'Neill, Andrew J. Dodd, Dnyanesh Chandrakant Tamboli, Reinaldo Mario Machado
  • Patent number: 10658196
    Abstract: A chemical-mechanical polishing slurry composition, comprising a polishing agent, an amine-based polishing activator, and a roughness adjusting agent, wherein the amine-based polishing activator is a tertiary or quaternary amine, and the roughness adjusting agent is a disaccharide. According to the slurry composition, the roughness of tungsten and silicon oxide films can be modified and the number of particles present on the wafer surface after polishing can be reduces so that defects of the wafer can be prevented.
    Type: Grant
    Filed: October 25, 2018
    Date of Patent: May 19, 2020
    Inventors: Hyeong Ju Lee, Seok Joo Kim, Kyung Il Park
  • Patent number: 10604678
    Abstract: A process and composition are disclosed for polishing tungsten containing select quaternary phosphonium compounds at low concentrations to at least reduce corrosion rate of tungsten. The process and composition include providing a substrate containing tungsten; providing a stable polishing composition, containing, as initial components: water; an oxidizing agent; select quaternary phosphonium compounds at low concentrations to at least reduce corrosion rate; a dicarboxylic acid, a source of iron ions; a colloidal silica abrasive; and, optionally a pH adjusting agent; providing a chemical mechanical polishing pad, having a polishing surface; creating dynamic contact at an interface between the polishing pad and the substrate; and dispensing the polishing composition onto the polishing surface at or near the interface between the polishing pad and the substrate; wherein some of the tungsten is polished away from the substrate, and corrosion rate of tungsten is reduced.
    Type: Grant
    Filed: February 8, 2019
    Date of Patent: March 31, 2020
    Assignee: Rohrn and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Lin-Chen Ho, Wei-Wen Tsai, Cheng-Ping Lee
  • Patent number: 10584266
    Abstract: The invention relates to a chemical-mechanical polishing composition comprising (a) ceria abrasive particles, (b) a cationic polymer, (c) a nonionic polymer comprising polyethylene glycol octadecyl ether, polyethylene glycol lauryl ether, polyethylene glycol oleyl ether, poly(ethylene)-co-poly(ethylene glycol), octylphenoxy poly(ethyleneoxy)ethanol, or a combination thereof, (d) a saturated monoacid, and (e) an aqueous carrier. The invention also relates to a method of polishing a substrate.
    Type: Grant
    Filed: March 14, 2018
    Date of Patent: March 10, 2020
    Assignee: Cabot Microelectronics Corporation
    Inventor: Sarah Brosnan
  • Patent number: 10508221
    Abstract: The present invention provides aqueous chemical mechanical planarization (CMP) polishing compositions comprising one or more dispersions of colloidal silica particles having a zeta potential of from +5 to +50 mV and having one or more aminosilane group, preferably, elongated, bent or nodular colloidal silica particles, or, more preferably, such particles which contain a cationic nitrogen atom, and at least one amine heterocycle carboxylic acid having an isolectric point (pI) of from 2.5 to 5, preferably, from 3 to 4. The compositions have a pH of from 2.5 to 5.3. Preferably, the amine heterocycle carboxylic acid is an amine-containing heterocyclic monocarboxylic acid, such as nicotinic acid, picolinic acid, or isonicotinic acid. The compositions enable enhanced oxide:nitride removal rate ratios.
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: December 17, 2019
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Yi Guo, David Mosley, Naresh Kumar Penta
  • Patent number: 10465096
    Abstract: Provided are Chemical Mechanical Planarization (CMP) formulations that offer high and tunable Cu removal rates and low copper dishing for the broad or advanced node copper or Through Silica Via (TSV). The CMP compositions provide high selectivity of Cu film vs. other barrier layers, such as Ta, TaN, Ti, and TiN, and dielectric films, such as TEOS, low-k, and ultra low-k films. The CMP polishing formulations comprise water; abrasive; single chelator, dual chelators or tris chelators; morpholino family compounds as Cu dishing reducing agents. Additionally, organic quaternary ammonium salt, corrosion inhibitor, oxidizer, pH adjustor and biocide can be used in the formulations.
    Type: Grant
    Filed: August 23, 2018
    Date of Patent: November 5, 2019
    Assignee: VERSUM MATERIALS US, LLC
    Inventors: Xiaobo Shi, Mark Leonard O'Neill
  • Patent number: 10457561
    Abstract: A liquid composition for forming a silica porous film of the invention is prepared by mixing a hydrolyzate of tetramethoxysilane or tetraethoxysilane as a silicon alkoxide with a silica sol in which fumed silica particles having primary particles having a mean particle diameter of 40 nm or less and secondary particles having a mean particle diameter of 20 nm to 400 nm, that is greater than the mean particle diameter of the primary particles, are dispersed in a liquid medium, in which the mass ratio (A/B) of the SiO2 content (B) of the silica sol to the SiO2 content (A) in the hydrolyzate is in a range of 1/99 to 60/40.
    Type: Grant
    Filed: March 11, 2015
    Date of Patent: October 29, 2019
    Assignee: MITSUBISHI MATERIALS CORPORATION
    Inventors: Koutaro Masuyama, Satoko Higano, Kazuhiko Yamasaki
  • Patent number: 10407594
    Abstract: A chemical-mechanical polishing (CMP) composition comprising (A) inorganic particles, organic particles, or a composite or mixture thereof, (B) a polymeric polyamine or a salt thereof comprising at least one type of pendant group (Y) which comprises at least one moiety (Z), wherein (Z) is a carboxylate (—COOR1), sulfonate (—SO3R2), sulfate (—O—SO3R3), phosphonate (—P(?O)(OR4)(OR5)), phosphate (—O—P(?O)(OR6)(OR7)), carboxylic acid (—COOH), sulfonic acid (—SO3H), sulfuric acid (—O—SO3—), phosphonic acid (—P(?O)(OH)2), phosphoric acid (—O—P(?O)(OH)2) moiety, or their deprotonated forms, R1 is alkyl, aryl, alkylaryl, or arylalkyl R2 is alkyl, aryl, alkylaryl, or arylalkyl, R3 is alkyl, aryl, alkylaryl, or arylalkyl, R4 is alkyl, aryl, alkylaryl, or arylalkyl, R5 is H, alkyl, aryl, alkylaryl, or arylalkyl, R6 is alkyl, aryl, alkylaryl, or arylalkyl, R7 is H, alkyl, aryl, alkylaryl, or arylalkyl, and (C) an aqueous medium.
    Type: Grant
    Filed: March 19, 2012
    Date of Patent: September 10, 2019
    Assignee: BASF SE
    Inventors: Bastian Marten Noller, Yuzhuo Li, Diana Franz, Kenneth Rushing, Michael Lauter, Daniel Kwo-Hung Shen, Yongqing Lan, Zhenyu Bao
  • Patent number: 10399205
    Abstract: Embodiments of the present invention provide systems, apparatus, and methods for chemical polishing a substrate using a fluid network platen assembly that includes a pad having a plurality of fluid openings; a network of a plurality of fluid channels, each channel in fluid communication with at least one fluid opening; a plurality of inlets, each inlet coupled to a different fluid channel; and an outlet coupled to one of the fluid channels not coupled to an inlet. Numerous additional aspects are disclosed.
    Type: Grant
    Filed: February 6, 2017
    Date of Patent: September 3, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Balasubramaniam C. Jaganathan, Rajeev Bajaj
  • Patent number: 10344185
    Abstract: Provided is a composition for polishing silicon wafers, having an excellent effect of reducing haze and having low agglomerating property. A composition for polishing silicon wafers provided here includes: an amido group-containing polymer A; and an organic compound B not containing an amido group. The amido group-containing polymer A has, on a main chain, a building block S derived from a monomer represented by General Formula (1). Molecular weight MA of the amido group-containing polymer A and molecular weight MB of the organic compound B have a relation satisfying 200?MB<MA.
    Type: Grant
    Filed: June 17, 2015
    Date of Patent: July 9, 2019
    Assignee: Fujimi Incorporated
    Inventors: Kohsuke Tsuchiya, Hisanori Tansho, Yusuke Suga
  • Patent number: 10325808
    Abstract: A method of forming a 3D crack-stop structure in, through, and wrapped around the edges of a substrate to prevent through-substrate cracks from propagating and breaking the substrate and the resulting device are provided. Embodiments include providing a substrate including one or more dies; forming a continuous first trench near an outer edge of the substrate; forming a continuous second trench parallel to and on an opposite side of the first trench from the outer edge; forming a continuous row of vias parallel to and on an opposite side of the second trench from the first trench, forming a continuous third trench parallel to and near an outer edge of each of the dies; forming a protective layer wrapping around the outer edge of the substrate and over and filling the trenches and vias; and patterning active areas of the substrate between the vias and the third trench.
    Type: Grant
    Filed: December 29, 2017
    Date of Patent: June 18, 2019
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Ivan Huang, Elavarasan Pannerselvam, Vijay Sukumaran
  • Patent number: 10233356
    Abstract: The invention is an aqueous slurry useful for chemical mechanical polishing a semiconductor substrate having cobalt or cobalt alloy containing features containing Co0. The slurry includes 0.1 to 2 wt % hydrogen peroxide oxidizing agent (?), 0.5 to 3 wt % colloidal silica particles (?), a cobalt corrosion inhibitor, 0.5 to 2 wt % complexing agent (?) selected from at least one of L-aspartic acid, nitrilotriacetic acid, nitrilotri(methylphosphonic acid), ethylenediamine-N,N?-disuccinic acid trisodium salt, and ethylene glycol-bis (2aminoethylether)-N,N,N?,N?-tetraacetic acid, and balance water having a pH of 5 to 9. The total concentrations remain within the following formulae as follows: wt % (?)+wt % (?)=1 to 4 wt % for polishing the cobalt or cobalt alloy; wt % (?)?2*wt % (?) for limiting static etch of the cobalt or cobalt alloy; and wt % (?)+wt % (?)?3*wt % (?) for limiting static etch.
    Type: Grant
    Filed: March 6, 2017
    Date of Patent: March 19, 2019
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Murali G. Theivanayagam, Hongyu Wang