Method of eliminating residual carbon from flowable oxide fill

- Micron Technology, Inc.

Methods of forming an oxide layer such as high aspect ratio trench isolations, and treating the oxide substrate to remove carbon, structures formed by the method, and devices and systems incorporating the oxide material are provided.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
FIELD OF THE INVENTION

[0001] The invention relates generally to semiconductor processing methods of forming and utilizing insulative materials for electrical isolation in integrated circuits, and more particularly to a post-deposition treatment of flowable oxide fill materials to eliminate residual carbon from the material.

BACKGROUND OF THE INVENTION

[0002] With advancements in semiconductor integrated circuit technology, device dimensions and component spacing continues to shrink, requiring the devices to be placed in close proximity to each other. Insulative materials are widely used in semiconductor fabrication methods for forming structures to electrically isolate the various active components formed in integrated circuits. Devices that extend into a semiconductive substrate can be electrically isolated by insulative materials formed within the substrate between the components, for example, trench isolation regions. In such a technique, trenches are etched into a silicon substrate, usually by anisotropic etching, and the trenches are subsequently filled by the deposition of an oxide such as silicon dioxide (SiO2).

[0003] An oxide is often deposited by chemical vapor deposition (CVD) as a conformal layer of SiO2. In the trenches, the conformal layers of oxide are initially formed on the sidewalls and grow in size outward into the center of the trench to where the oxide layers meet. However, as device dimensions become smaller and trench widths become narrower, such techniques can result in voids formed in the oxide fill, which impair the isolation capability of the trench.

[0004] In an attempt to eliminate voids in a high aspect ratio trench feature, flowable oxides have been developed that provide good gapfill properties. One such flowable oxide that has been employed is formed by depositing trimethylsilane ((CH3)3SiH) (TMS) and ozone (O3) by CVD to fill the gap and eliminate void formation. However, the presence of residual carbon from the hydrocarbon portion of the precursor provides a high carbon content in the oxide material that causes the fill to be soft and unstable to various processes such as wet etches, resulting in device degradation.

[0005] Attempts to reduce the carbon content in the film have included changing the deposition process by altering the ozone composition, the ozone flow, the ratio of O3:TMS flowed into the chamber, and staggering the inflow of gases, for example, first flowing only TMS, then flowing only ozone gas, etc. Although, in some applications, the amount of carbon in the fill was somewhat reduced, it was not completely eliminated from the material.

[0006] It would be desirable to develop a process for eliminating residual carbon from a flowable insulative film or fill material.

SUMMARY OF THE INVENTION

[0007] The present invention provides methods of forming and treating insulative materials, and semiconductor devices and systems incorporating the insulative materials.

[0008] In one aspect, the invention provides a method of treating a carbon-containing oxide layer disposed on a semiconductive substrate, for example, in a trench or other opening in the substrate, by exposing the oxide layer to an oxygen plasma to eliminate a substantial amount of carbon from the oxide layer. The oxygen plasma can be formed in the reaction chamber or remotely in a downstream plasma system and then flowed into the chamber. The post-deposition oxygen plasma treatment preferably reduces the carbon in the oxide layer to a non-detectable level, and preferably to about 5% or less.

[0009] In another aspect, the post-deposition oxygen plasma treatment can be used in a method of forming an oxide layer on a semiconductor substrate. In one embodiment, the method comprises depositing a layer of a carbon-containing flowable oxide on the substrate by chemical vapor deposition of an organosilane (e.g., trimethylsilane) and an oxygen source gas (e.g., ozone), and conducting an oxygen plasma treatment according to the invention to reduce or eliminate carbon from the oxide layer, preferably to a non-detectable level. The flowable oxide material can be deposited to substantially fill high aspect ratio gaps or openings (e.g., a trench) without leaving voids, and the oxygen plasma treatment is then conducted to reduce the carbon content of the fill material to a desired level, preferably to about 5% or less.

[0010] In another embodiment, the method comprises forming a flowable oxide on a substrate, for example, by spin-coating or flow coating, and then exposing the carbon-containing oxide material to an oxygen plasma in accordance with the invention to drive out a substantial amount of the carbon from the oxide layer.

[0011] In yet another embodiment, the method of the invention comprises depositing an oxide material into a high aspect ratio opening such as a deep trench with a flowable oxide material to partially fill the opening (e.g., about one-half of the depth), and then depositing oxide material by high density plasma chemical vapor deposition (HDPCVD) to fill the rest of the opening. This method advantageously eliminates the formation of voids in the fill that can occur when solely a high density plasma oxide is used to fill a high aspect ratio gap.

[0012] In another aspect, the invention provides an oxide fill disposed on a semiconductor substrate, which comprises a flowable oxide material treated with an oxygen plasma such that carbon in the oxide material is substantially reduced, preferably to a non-detectable level. Preferably, the oxygen plasma-treated oxide material contains substantially no voids and has a carbon content of about 5% or less. The oxide fill can be disposed, for example, within a high aspect ratio opening such as a deep trench in a semiconductor substrate. The oxide fill material can be formed on the substrate as a flowable oxide by chemical vapor deposition of an organosilane (e.g., trimethylsilane) and an oxygen source gas (e.g., ozone), or, in another embodiment, by a liquid application process such as a spin-on technique of a flowable oxide material. In another embodiment, the oxygen plasma-treated oxide fill can comprise a portion of a flowable oxide material and another portion of high density plasma oxide.

[0013] Also provided according to the invention is a semiconductor device comprising a substrate and an oxygen plasma-treated flowable oxide layer, the oxide layer preferably having a carbon content of about 5% or less. The oxide layer can comprise a trench isolation structure, for example. In one embodiment, the oxide layer comprises chemical vapor deposited trimethylsilane and ozone.

[0014] In yet another aspect, the invention provides an integrated circuit device. In one embodiment, the integrated circuit device supported by a substrate, comprises an oxide layer disposed on the substrate, for example, as a fill within an opening such as a trench, the oxide layer comprising an oxygen plasma-treated flowable oxide (e.g., formed by chemical vapor deposition of trimethylsilane and ozone), the oxide layer preferably having a carbon content of about 5% or less. The integrated circuit device comprising the oxygen plasma-treated oxide layer can be incorporated into a die of a circuit module, for example, which can be incorporated into an electronic system.

[0015] The invention advantageously provides a method of readily eliminating carbon from a void-free, flowable oxide material formed in high aspect ratio openings, for example. The post-deposition plasma treatment can be conducted within the same process chamber as the deposition process. The process in accordance with the invention achieves a solid, void-free oxide fill having a reduced level of carbon that can be easily processed and will maintain its integrity in subsequent processing steps.

BRIEF DESCRIPTION OF THE DRAWINGS

[0016] Preferred embodiments of the invention are described below with reference to the following accompanying drawings, which are for illustrative purposes only. Throughout the following views, the reference numerals will be used in the drawings, and the same reference numerals will be used throughout the several views and in the description to indicate same or like parts.

[0017] FIGS. 1-4 are diagrammatic cross-sectional views of a fragment of a semiconductor wafer substrate at sequential processing steps showing fabrication of a trench isolation according to an embodiment of the method of the invention. FIG. 1 illustrates a processing step forming a trench in a surface of the substrate. FIG. 2 shows deposition of an oxide layer into the trench. FIG. 3 depicts an oxygen plasma treatment of the oxide layer. FIG. 4 illustrates a processing step to remove excess material formed above the surface of the substrate.

[0018] FIG. 5-7 are diagrammatic cross-sectional views of a fragment of a semiconductor wafer substrate at sequential processing steps showing fabrication of a trench isolation according to another embodiment of the method of the invention. FIG. 5 shows formation of a flowable oxide layer in a portion of the trench. FIG. 6 depicts formation of an overlying high density plasma oxide layer. FIG. 7 depicts an oxygen plasma treatment of the oxide fill within the trench.

[0019] FIG. 8 is a block diagram of a circuit module according to an embodiment of the present invention.

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

[0020] The invention will be described generally with reference to the drawings for the purpose of illustrating the present preferred embodiments only and not for purposes of limiting the same. The figures illustrate processing steps for fabricating an insulative fill in a high aspect ratio opening in a semiconductor substrate in accordance with the present invention. It should be readily apparent that the processing steps are only a portion of the entire fabrication process.

[0021] In the current application, the terms “semiconductive substrate”, “semiconductor substrate”, wafer fragment” or “wafer” will be understood to mean any construction comprising semiconductive material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.

[0022] The invention provides methods for forming a void-free flowable oxide layer in a semiconductor substrate and substantially eliminating carbon from the fill material.

[0023] The present invention addresses and solves problems of flowable oxide fill materials that contain high levels of carbon, particularly those formed from TMS and ozone, which are used, for example, as trench isolations, by treating the oxide fill material with an oxygen (O2) plasma to drive out carbon from the material.

[0024] FIGS. 1-4 illustrate steps in an embodiment of a method of the invention for fabricating an insulative layer for a trench isolation feature in a semiconductive substrate. Other semiconductor structures than isolation trenches can also benefit from the gap filling layer of the invention. Thus, the method is not limited to the specific illustrated embodiment and has broad application to a variety of applications in IC fabrication for shallow trench isolations (STI), gate spacers, spacers between wordlines, buried digit line spacers, among others.

[0025] Referring to FIG. 1, an exemplary semiconductor wafer fragment is indicated generally with reference numeral 10. The substrate 12 can comprise a semiconductive material such as monocrystalline silicon, polycrystalline silicon, germanium, or gallium arsenide, or epitaxial layers of silicon support by a base semiconductor foundation, for example, or an insulating layer if silicon-on-insulator (S-O-I) or a similar technology is used. For example, in the case of a silicon-on-sapphire (S-O-S) substrate, the insulator may be sapphire. The process of the present invention has broad application to a wide variety of substrates 12.

[0026] As shown, trenches 14 have been formed into the semiconductive substrate 12. The trenches can be formed by patterning and etching the substrate around active areas of the wafer such as transistor active areas, according to known techniques. The trenches 14 include a bottom surface 16 and sidewalls 18.

[0027] In one embodiment, an oxide isolation structure 20 is then formed in the trenches 14 by chemical vapor deposition (CVD) of an oxygen source gas and a carbon-substituted silane precursor gas (organosilane) to form a material having a liquid or flowable consistency that fills the trench. Exemplary organosilanes include, for example, methylsilane (CH3SiH3), dimethylsilane ((CH3)2SiH2), trimethylsilane ((CH3)3SiH) (TMS), tetramethylsilane ((CH3)4Si), diethylsilane ((C2H5)2SiH2) (DES), and SixCyHz where x is 1-2, y is 1-6, and z is 4-20), being TMS in the illustrated example. Exemplary oxygen source gases for reaction with the organosilane include ozone (O3), hydrogen peroxide (H2O2) vapor, nitrous oxide (N2O), and nitric oxide (NO), for example, being ozone (O3) in the illustrated example. The liquid nature of the deposited oxide material allows it to grow vertically from the bottom surfaces 16 of the trenches 14, and fill the trench volume as a homogenous filler material without voids or minimal void formation.

[0028] In one embodiment according to the invention, the trenches 14 are filled by reacting TMS with O3 in vapor form within a CVD reaction chamber. In the reaction chamber, the wafer is heated and a chemical reaction of the precursor gases occurs. Typical deposition process parameters include a chamber pressure maintained at about 50 to about 500 torr, preferably about 300 torr, and a substrate temperature of up to about 800° C., preferably about 20 to about 450° C., preferably about 50 to about 200° C., and more preferably at about 125° C. TMS and O3, and optionally a carrier gas (e.g., argon, helium, etc.), are flowed into the reaction chamber, and the TMS and O3 react and gases condense onto the bottom surfaces 16 of the trenches to form a layer of flowable silicon dioxide material, such as layer 20 shown in FIG. 2, incorporating carbon in the form of methyl groups (CH3). The flowable oxide material fills the trenches from the bottom surface up to the top of the trench, thereby avoiding forming voids in the center of the fill.

[0029] Referring now to FIG. 3, the oxide fill layer 20 is then treated with an oxygen plasma (indicated by arrows 22) effective to drive carbon out of the fill material. The oxygen plasma can be produced by flowing oxygen into a plasma generated within the reaction chamber or, in another embodiment of a deposition process, the plasma can be produced remotely from the chamber (i.e., not in the chamber) in a downstream plasma system according to known techniques. By downstream plasma system, it is meant that a plasma is generated and used to treat a compound and create reactive constituents in a particular location and then the reactive constituents are transported downstream to another location before being used as desired, generally by acting on a target. Typically, a downstream plasma system (not shown) includes a plasma chamber in flow communication by way of a conduit with the reaction chamber. Using a plasma system, the oxygen gas can be dissociated, transported downstream, and introduced into the reaction chamber to react with the flowable oxide layer 20.

[0030] The post-deposition oxygen plasma treatment of the invention can be conducted in the same reaction chamber subsequent to the oxide deposition whereby feeding the reactive gases (TMS and ozone) is ceased and a feed of oxygen (O2) into the chamber is commenced while providing plasma conditions (or a remote plasma source is flowed into the chamber). Oxygen can be flowed into the plasma-containing chamber at a rate of about 1 to about 10,000 sccm, typically at a rate of about 1,000 sccm, while an RF power of about 100 to about 5,000 watts is applied, with 1,000 watts being preferred. The oxygen can be flowed together with a carrier gas such as helium, etc.

[0031] The oxide layer 20 is exposed to the oxygen plasma for a time effective to substantially eliminate carbon from the fill layer 20, preferably to a non-detectable level. The dissociated oxygen species diffuses into the layer 20 and reacts with carbon to form volatile byproducts (e.g., CO, CO2). The duration of the oxygen plasma treatment can range from about 1 to about 2 seconds to up to about 100 to about 1,000 seconds or longer, generally depending on the thickness of the oxide layer 20 and wafer temperature, an extended time period being preferred to sufficiently lower the carbon content of the oxide layer to the desired level. Elevating the temperature of the wafer can accelerate the removal of carbon from the fill material. Preferably, the oxygen plasma treatment is applied for at least about 10 seconds, preferably at least about 100 seconds, at a wafer temperature of up to about 800° C., preferably about 20 to about 450° C., preferably about 50 to about 200° C., and a reaction chamber pressure of about 0.1 to about 20 torr.

[0032] The oxygen plasma treatment preferably results in a reduction of the carbon content of the deposited oxide fill layer 20 by at least about 50%, preferably by at least about 80%, preferably by at least about 98%, such that the plasma-treated oxide layer has a carbon content of about 10% or less, preferably about 5% or less, preferably about 2% or less.

[0033] Further processing can be conducted, including stripping off excess of the oxide fill material and masking layers formed above the surface of the substrate, for example, using a known chemical mechanical polishing (CMP) technique, or other technique such as wet etching and/or dry etching.

[0034] The process according to the invention advantageously results in a substantial reduction in carbon from the fill layer 20 and also offers the advantage of filing a narrow space, e.g., a trench width of about 0.06 &mgr;m, for example, and gaps having a high aspect ratio from about 7:1 to about 10:1, for example.

[0035] The present application describes a method of treating oxide fill materials by contacting the fill with an oxygen plasma to substantially eliminate carbon therefrom. While illustrated in the context of removing carbon from a flowable oxide material deposited in a trench of a semiconductor substrate by CVD of TMS and oxide, the skilled artisan will recognize many other applications for the methods disclosed herein.

[0036] For example, the method of the invention can be utilized to reduce the carbon level of flowable SiOC-based oxides deposited from other gases and/or by other processes. Another technique of forming silicon dioxide is referred to as Flowfill™ technology, which has been developed by Trikon Technology of Bristol, U.K., as described in U.S. Pat. No. 6,156,674, and U.S. Pat. Appl. Publ. No. 2002/0098684, for example, the disclosures of which are incorporated by reference herein. Flowfill™ technology has been utilized to form insulative materials comprising (CH3)xSiO(2-y), wherein y/2 is the percentage of CH3 incorporated. For example, in such a process, methylsilane (CH3SiH3) (in a gaseous form) and hydrogen peroxide (H2O2) (in a liquid form) can be separately introduced into a chamber, such as a parallel plate reaction chamber. A reaction between CH3SiH3 and H2O2 can be moderated by introduction of nitrogen into the reaction chamber. A wafer is provided within the chamber, and ideally maintained at a suitably low temperature, such as 0° C., at an exemplary pressure of about 1 Torr to achieve formation of a methylsilanol structure. Such material condenses on the wafer surface. Although the reaction occurs in the gas phase, the deposited material is in the form of a viscous liquid, which flows to fill small gaps on the wafer surface. In applications where deposition thickness increases, surface tension drives the deposited layer flat, thus forming a planarized layer over the substrate.

[0037] The liquid methylsilanol is converted to a silicon dioxide structure by a two-step process occurring in two separate chambers from that in which the methylsilanol-type structure was deposited. First, planarization of the liquid film is promoted by increasing the temperature to above 100° C., while maintaining the pressure at about 1 Torr, to result in solidification and formation of a polymer layer. Thereafter, the temperature is raised to approximately 450° C., while maintaining the pressure of about 1 Torr, to form (CH3)xSiO(2-y). The processing at 450° C. also provides an advantage of driving undesired water from the resultant (CH3)xSiO(2-y) layer.

[0038] Other techniques of forming a silicon dioxide fill layer include a spin-on process by spin applying a liquid comprising an organosiloxane, by flow coating, or by using a spray technique. The solvent can be removed by baking, leaving a planarized silicon dioxide layer.

[0039] Other flowable oxide materials are known and used in the art can also benefit from the post-deposition plasma treatment in accordance with the invention. Examples of such oxide materials include various polyimides, FLARE 2.0™ dielectric (a poly(arylene)ether available from Allied Signal, Advanced Microelectronic Materials, Sunnyvale, Calif.), Black-Diamond™ dielectric (available from Applied Materials, Santa Clara, Calif.), BCB (divinylsiloxane bisbenzocyclobutene) and Silk™, an organic polymer similar to BCB (both available from Dow Chemical Co., Midland, Mich.), among others. Such materials can be applied by various techniques including spin-on and CVD processes.

[0040] Treatment of the organic carbon-containing oxide materials in accordance with embodiments of the invention employing an oxygen plasma substantially reduces the carbon content of such carbon-containing oxide materials.

[0041] FIGS. 5-7 illustrate steps in another embodiment of a method in accordance with the invention for forming an oxide fill in an opening in a substrate of a wafer fragment 10′. Referring to FIG. 5, a portion (e.g., about one-half) of a trench 14′ disposed in a substrate 12′ is filled with an oxide layer 20′ comprising a flowable oxide material, as described hereinabove. The remainder of the opening 14′ can then be filled with a high density plasma oxide material 24′ using a conventional high density plasma chemical vapor deposition (HDPCVD). Briefly, the silicon oxide is deposited in a reaction zone of a HDPCVD reactor while providing a selected bias power, source power and gas mixtures. Exemplary silicon source gases include, for example, silicon tetrachloride (SiCl4), silicon tetrabromide (SiBr4), dichlorosilane (SiH2Cl2), and disilane (Si2H6). Exemplary gases to react with the silicon source gas include oxygen, ozone, nitrous oxide (N2O), and nitric oxide (NO), for example, along with a carrier gas such as argon or helium.

[0042] FIG. 8 is a block diagram of an embodiment of a circuit module 26 in which the present invention can be incorporated. Such modules, devices and systems (e.g., processor systems) incorporating the module are described and illustrated in U.S. Pat. Nos. 6,437,417 (Gilton) and 6,465,829 (Agarwal), the disclosures of which are incorporated by reference herein. In brief, two or more dies 28 may be combined into a circuit module 26 to enhance or extend the functionality of an individual die 28. Circuit module 26 may be a combination of dies 28 representing a variety of functions, or a combination of dies containing the same functionality. One or more dies 28 of the circuit module can contain circuitry, or integrated circuit devices, that includes at least one O2 plasma-treated flowable oxide layer in accordance with the embodiments of the present invention. The integrated circuit devices can include a memory cell that comprises an oxide layer as discussed in the various embodiments in accordance with the invention.

[0043] Some examples of a circuit module include memory modules, device drivers, power modules, communication modems, processor modules, and application-specific modules, and may include multilayer, multichip modules. Circuit module 26 may be a subcomponent of a variety of electronic systems, such as a clock, a television, a cell phone, a personal computer, an automobile, an industrial control system, an aircraft, among others. Circuit module 26 will have a variety of leads 30 extending therefrom and coupled to the dies 28 providing unilateral or bilateral communication and control.

[0044] The circuit module can be incorporated, for example, into an electronic system that comprises a user interface, for example, a keyboard, monitor, display, printer, speakers, etc. One or more circuit modules can comprise a microprocessor that provides information to the user interface, or is otherwise programmed to carry out particular functions as is known in the art. The electronic system can comprise, for example, a computer system including a processor and a memory system as a subcomponent, and optionally user interface components, and other associated components such as modems, device driver cards, etc. Examples of memory circuits include but are not limited to DRAM (Dynamic Random Access Memory), SRAM (Static Random Access Memory), Flash memories, a synchronous DRAM such as SGRAM (Synchronous Graphics Random Access Memory), SDRAM (Synchronous Dynamic Random Access Memory), SDRAM II, and DDR SDRAM (Double Data Rate SDRAM), as well as Synchlink or Rambus DRAMs and other emerging memory technologies.

EXAMPLE

[0045] Carbon-containing oxide films were deposited from TMS and O3 by a CVD process onto three wafer surfaces. Process parameters included a chamber pressure of about 100 torr and a wafer temperature of about 125° C., utilizing a Centura DxZ CVD deposition chamber from Applied Materials, Inc.

[0046] The two test films were then treated with an oxygen plasma under the same process parameters. The Control film received no post-deposition treatment with oxygen plasma. Table 1 provides the average of the bulk atomic concentrations (%) of the Test oxide layers and the Control layer. 1 TABLE 1 Slot C O F Si bonded to O Si/O Test wafer #1 nd 63.5 0.3 36.1 0.57 (plasma treated) Test wafer #2 nd 63.3 0.2 36.4 0.58 (plasma treated) Control wafer 29.8 36.8 0.2 32.6 0.89

[0047] The results show a significant difference between the average of the bulk atomic concentrations (%) of the oxide layer of the test wafers exposed to the post-deposition treatment and the Control wafer. The results demonstrate that while the untreated Control wafer had an about 30% carbon content, the oxygen plasma post-deposition treatment was successful in eliminating the carbon content of the test wafers to a non-detectable level.

[0048] In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents.

Claims

1-65. (canceled).

66. An oxide fill disposed on a semiconductor substrate, and comprising a flowable oxide material treated with an oxygen plasma such that carbon in the oxide material is non-detectable.

67. An oxide fill disposed on a semiconductor substrate, and comprising an oxygen plasma treated flowable oxide material having a carbon content of less than about 10%.

68. An oxide fill disposed within an opening in a semiconductor substrate, and comprising an oxygen plasma treated flowable oxide material having substantially no voids and a carbon content of less than about 10%.

69. The oxide fill of claim 68, disposed within a deep trench in the semiconductor substrate.

70. An oxide fill disposed within an opening in a semiconductor substrate, the opening having an aspect ratio of about 7:1 to about 10:1; the fill comprising an oxygen plasma treated flowable oxide material such that the fill comprises substantially no voids and a carbon content of less than about 10%.

71. An oxide fill disposed within an opening in a semiconductor substrate, and comprising an oxygen plasma treated flowable oxide material formed by chemical vapor deposition of an organosilane and an oxygen source gas, the fill having substantially no voids and a carbon content of less than about 10%.

72. An oxide fill disposed within an opening in a semiconductor substrate, and comprising an oxygen plasma treated flowable oxide material formed by chemical vapor deposition of an trimethylsilane and ozone, the fill having substantially no voids and a carbon content of less than about 10%.

73. An oxide fill disposed within an opening in a semiconductor substrate, and comprising an oxygen plasma treated flowable oxide material having substantially no voids and a carbon content of less than about 10%, the fill comprising a layer of a flowable oxide and an overlying layer of a high density plasma oxide.

74. A semiconductor device, comprising:

a substrate; and
an oxide material disposed on the substrate, the oxide material comprising an oxygen plasma treated flowable oxide formed by chemical vapor deposition of organosilane and an oxygen source gas, the oxide material having a carbon content of less than about 10%.

75. The device of claim 74, wherein the oxide material forms a trench isolation structure.

76. A semiconductor device, comprising:

a substrate; and
an oxygen plasma treated flowable oxide material disposed on the substrate, wherein carbon is non-detectable within the oxide material.

77. A semiconductor device, comprising:

a substrate; and
an oxygen plasma treated, flowable oxide material disposed on the substrate, the oxide material formed by chemical vapor deposition of an organosilane and an oxygen source gas, and comprising substantially no carbon.

78. A semiconductor device, comprising:

a substrate; and
an oxygen plasma treated, spin applied flowable oxide material disposed on the substrate, the oxide material comprising substantially no carbon.

79. A semiconductor device, comprising:

a substrate; and
an oxide fill disposed within an opening in the substrate, the fill comprising an oxygen plasma treated flowable oxide material formed by chemical vapor deposition of trimethylsilane and ozone, the fill having substantially no voids and substantially no carbon.

80. An integrated circuit supported by a substrate, and comprising:

an oxide layer disposed on the substrate, the oxide layer comprising an oxygen plasma treated flowable oxide and having a carbon content of less than about 10%.

81. An integrated circuit supported by a substrate, and comprising:

an oxide layer disposed on the substrate, the oxide layer comprising an oxygen plasma treated flowable oxide formed by chemical vapor deposition of an organosilane and an oxygen source gas, and having a carbon content of less than about 10%.

82. An integrated circuit supported by a substrate, and comprising:

an oxide layer disposed on the substrate, the oxide layer comprising an oxygen plasma treated flowable oxide formed by chemical vapor deposition of trimethylsilane and ozone, and having a carbon content of less than about 10%.

83. An integrated circuit supported by a substrate, and comprising:

an oxide layer disposed on the substrate, the oxide layer comprising an oxygen plasma treated, spin applied flowable oxide having a carbon content of less than about 10%.

84. An integrated circuit supported by a substrate, and comprising:

an oxide fill disposed in an opening in the substrate, the oxide fill comprising an oxygen plasma treated flowable oxide and having a carbon content of less than about 10%.

85. The integrated circuit of claim 84, wherein the oxide fill is formed by chemical vapor deposition of an organosilane and an oxygen source gas.

86. The integrated circuit of claim 85, wherein the oxide fill is formed by chemical vapor deposition of trimethylsilane and ozone.

87. The integrated circuit of claim 84, wherein the oxide fill comprises a spin applied flowable oxide.

88. The integrated circuit of claim 84, wherein the oxide fill disposed in the opening comprises a trench isolation structure.

89. The integrated circuit of claim 84, wherein the opening has an aspect ratio of about 7:1 to about 10:1.

90. An electronic system, comprising:

a processor; and
an integrated circuit in communication with the processor, the integrated circuit comprising a substrate and an oxide fill disposed in an opening in the substrate, the oxide fill comprising an oxygen plasma treated flowable oxide having a carbon content of less than about 10%.

91. The system of claim 90, wherein the integrated circuit is a memory circuit.

92. The system of claim 90, wherein the memory circuit is a DRAM memory circuit.

93. An electronic system, comprising:

a processor; and
an integrated circuit in communication with the processor, the integrated circuit comprising a substrate and an oxide fill disposed in an opening in the substrate, the oxide fill comprising an oxygen plasma treated flowable oxide formed by chemical vapor deposition of an organosilane and an oxygen source gas, and having a carbon content of less than about 10%.

94. An electronic system, comprising:

a processor; and
an integrated circuit in communication with the processor, the integrated circuit comprising a substrate and an oxide fill disposed in an opening in the substrate, the oxide fill comprising an oxygen plasma treated flowable oxide formed by chemical vapor deposition of trimethylsilane and ozone, and having a carbon content of less than about 10%.

95. An electronic system, comprising:

a processor; and
an integrated circuit in communication with the processor, the integrated circuit comprising a substrate and an oxide fill disposed in an opening in the substrate, the oxide fill comprising an oxygen plasma treated flowable oxide having a carbon content of less than about 10%, the fill comprising a layer of a flowable oxide and an overlying layer of a high density plasma oxide.

96. An electronic system, comprising:

a processor; and
an integrated circuit in communication with the processor, the integrated circuit comprising a substrate and an oxide fill disposed in an opening in the substrate, the oxide fill comprising an oxygen plasma treated, spin applied flowable oxide having a carbon content of less than about 10%.
Patent History
Publication number: 20040212036
Type: Application
Filed: May 25, 2004
Publication Date: Oct 28, 2004
Applicant: Micron Technology, Inc. (Boise, ID)
Inventors: Li Li (Boise, ID), Weimin Li (Boise, ID)
Application Number: 10853063
Classifications