APPARATUS FOR CYCLICAL DEPOSITING OF THIN FILMS

An apparatus for cyclical depositing of thin films on semiconductor substrates, comprising a process chamber having a gas distribution system with separate paths for process gases and an exhaust system synchronized with operation of valves dosing the process gases into a reaction region of the chamber.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. patent application Ser. No. 10/352,257 (Attorney Docket No. 006941), filed Jan. 27, 2003, which claims benefit of U.S. Provisional Application Ser. No. 60/351,561, filed Jan. 25, 2002, both applications are incorporated by reference herein.

BACKGROUND OF THE INVENTION

1. Field of the Invention

The present invention generally relates to semiconductor processing. More particularly, the invention relates to an apparatus for performing cyclical deposition processes in semiconductor substrate processing systems.

2. Description of the Related Art

An atomic layer deposition (ALD) process is a cyclical deposition method that is generally used for depositing ultra-thin layers (e.g., mono-layers) over features of semiconductor devices having a high aspect ratio, i.e., a ratio of the depth of a feature to the smallest width of the feature.

The ALD process utilizes a chemisorption phenomenon to deposit mono-layers of reactive precursor molecules. During the ALD process, reactive precursors are injected, in the form of pulsed gases, into a deposition chamber in a predetermined cyclical order. Each injection of a precursor provides a new atomic layer on a substrate that is additive to or combines with the previously deposited layers. Injections of individual precursor gases generally are separated by injections of a purge gas or, in other embodiments, the purge gas may be flown continuously into the deposition chamber. The purge gas generally comprises an inert gas, such as argon (Ar), helium (He), and the like or a mixture thereof. During the ALD process, the deposition chamber is also continuously evacuated to reduce the gas phase reactions between the precursors.

There are many challenges associated with ALD technique that affect the film properties and costs of operation and ownership. For example, unwanted gas phase reactions between precursors within the process chamber of the prior art may cause contamination of deposited films and require frequent cleaning of the chamber, thus decreasing productivity of the ALD process.

Therefore, there is a need for an improved apparatus for cyclical depositing of thin films during fabrication of semiconductor devices.

SUMMARY OF THE INVENTION

The present invention is an apparatus for cyclical depositing thin films on semiconductor substrates with low film contamination and minimal gas phase reactions between the precursors. The apparatus comprises a process chamber having a gas distribution system facilitating separate paths for process gases and an exhaust system that is synchronized with the valves dosing the process gases. Various embodiments of the apparatus are described. In one application, the invention is used to deposit an aluminum oxide (Al2O3) film.

In one embodiment, a gas distribution system for providing at least two gases to a processing chamber is described. The gas distribution system includes a lid assembly and a manifold comprising a first isolated flow path and a second isolated flow path, wherein the first isolated flow path includes an outlet in fluid communication with a central gas channel having a diameter that radially expands towards a showerhead coupled to the lid assembly, a first high speed valve coupled to the manifold and in fluid communication with the first isolated flow path and a second high speed valve coupled to the manifold and in fluid communication with the second isolated flow path, and a valved exhaust system in communication with and at least partially synchronized with the operation of at least the first high speed valve.

In another embodiment, a gas distribution system for providing at least two gases to a processing region in a processing chamber is described. The gas distribution assembly includes a valve assembly comprising a first high speed valve and a second high speed valve coupled to a manifold, wherein the manifold comprises a first isolated flow path and a second isolated flow path, wherein each of the first and second isolated flow paths comprises at least one precursor gas inlet, a lid assembly, comprising: a showerhead coupled to a lid plate, wherein the showerhead comprises a central region having slotted openings and an outer region having a plurality of apertures, and a central gas channel passing through the lid plate, wherein the central gas channel includes an upper portion and a lower portion having an increasing diameter towards the showerhead, and a valved exhaust system in communication with the operation of the first high speed valve and the second high speed valve, wherein each isolated flow path receives a precursor gas and a carrier gas that is pulsed to the processing region by the first high speed valve and the second high speed valve.

In another embodiment, a gas distribution system for providing at least two gases to a processing region in a processing chamber is described. The gas distribution system includes a valve assembly comprising a first high speed valve and a second high speed valve coupled to a manifold, wherein the manifold comprises a first isolated flow path, and a second isolated flow path, wherein each of the first and second isolated flow paths comprises at least one precursor gas inlet, a plasma source coupled to the at least one precursor inlet, a lid assembly, comprising: a showerhead coupled to a lid plate, wherein the showerhead comprises a central region having slotted openings and an outer region having a plurality of apertures, and a central gas channel passing through the lid plate, wherein the central gas channel includes an upper portion and a lower portion having an increasing diameter towards the showerhead, and a valved exhaust system in communication with the operation of the first high speed valve and the second high speed valve, wherein each isolated flow path receives a precursor gas and a carrier gas that is pulsed to the processing region by the first high speed valve and the second high speed valve.

BRIEF DESCRIPTION OF THE DRAWINGS

The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:

FIG. 1 is a schematic, perspective view of one illustrative embodiment of a semiconductor substrate processing system in accordance with the present invention;

FIG. 2 is a schematic, cross-sectional view of a process chamber of the processing system of FIG. 1;

FIG. 3 is a schematic, partial cross-sectional view of a lid assembly of the process chamber of FIG. 2;

FIG. 4 is a schematic, partial view of a showerhead of the process chamber of FIG. 2;

FIG. 5 is a schematic, partial cross-sectional view of another embodiment of the lid assembly of the process chamber of FIG. 2;

FIG. 6 is a schematic, partial cross-sectional view of another embodiment of the process chamber of the processing system FIG. 1;

FIG. 7 is a schematic, partial cross-sectional view of yet another illustrative embodiment of the process chamber of the processing system FIG. 1;

FIG. 8 is a schematic, partial cross-sectional view of one embodiment of a showerhead of the process chamber of FIG. 7;

FIG. 9 is a schematic, partial cross-sectional view of another embodiment of the showerhead of the process chamber of FIG. 7; and

FIG. 10 is a schematic, plan view of a processing platform integrating the process chambers used in performing cyclical deposition processes of the present invention.

To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.

It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

DETAILED DESCRIPTION OF THE INVENTION

The present invention is an apparatus for performing cyclical depositing of thin films on semiconductor substrates (for example, using an atomic layer deposition (ALD) process and the like) with low film contamination and minimal gas phase reactions between the reactive precursors. In one application, the apparatus is used to deposit an aluminum oxide (Al2O3) film. In other applications, the apparatus may be used to deposit other films that include materials such as aluminum (Al), copper (Cu), titanium (Ti), tantalum (Ta), tungsten (W) films, and the like.

FIGS. 1-9 are schematic views of various embodiments of an exemplary processing system 100 and salient portions of the system in accordance with the present invention. The images in FIGS. 1-9 are simplified for illustrative purposes and are not depicted to scale.

FIG. 1 is a schematic, perspective view of one illustrative embodiment of a processing system 100 comprising a process chamber 101, a controller 70, a dual exhaust system 50, and a source 530 of process gases that are used during a cyclical deposition process (for example, ALD process).

The process chamber 101 comprises a chamber body 105, a lid assembly 120, and an ozonator 170. In the depicted embodiment, the process chamber 101 has two isolated zones (flow paths) for gaseous compounds that are used during an ALD process. Herein the term “gaseous compound” is collectively used for one or more process gases, such as precursor gases, purge gases, carrier gases, catalytic gases, and the like, as well as for mixtures thereof, and the terms “gas” and “gas mixture” are used interchangeably. The isolated flow paths prevent mixing of gaseous compounds before the compounds reach a reaction region 159 of the process chamber 101. In other embodiments, the process chamber 101 may comprise more than two isolated flow paths.

The lid assembly 120 is disposed on the chamber body 105 and, in a closed position, forms a fluid-tight seal with the chamber body. The lid assembly 120 generally comprises a lid plate 122, a ring heater 125, a manifold block 150, a showerhead 130, and high-speed valves 155A, 155B. Components of the lid assembly 120 are preferably formed from process-compatible materials, such as aluminum, aluminum nitride, stainless steel, graphite, silicon carbide, and the like. The lid assembly 120 further comprises a handle 145 and a hinge assembly 140 used to lift the lid assembly during routine cleaning and maintenance of the process chamber 101.

The chamber body 105 comprises a member 109, a liner 107, and a support pedestal 111. A slit 115 is formed in a sidewall of the chamber body 105 to facilitate transfer of a substrate into and out of the process chamber 101. One example of a suitable wafer transfer robot (for example, robot 1030 described in reference to FIG. 10) is disclosed in commonly assigned U.S. Pat. No. 4,951,601.

The support pedestal 111, for example, a ceramic support pedestal, comprises a heater 53A, as well as a thermocouple 50A that is used to monitor the temperature thereof. A signal from the thermocouple 50A may be used in a feedback loop that controls power applied to a heater 53A. The heater 53A may be a resistive heater or other thermal transfer device embedded in or otherwise coupled to the support pedestal 111. Optionally, the support pedestal 111 may be heated using a conduit (not shown) carrying a heat transfer fluid. The support pedestal 111 may also comprise channels (not shown) to deliver a purge gas to an edge and/or backside of the substrate. Further, the substrate support 111 is coupled to a lifting mechanism and comprises a chucking device that holds the substrate thereon (both not shown). Examples of suitable chucking devices include a vacuum chuck, an electrostatic chuck, a clamp ring, and the like. One example of the lifting mechanism is described in the commonly assigned U.S. Pat. No. 5,951,776.

The liner 107 circumscribes the interior vertical surfaces of the chamber body 105. Alternatively, the liner 107 covers a bottom of the chamber body 105 (as depicted in FIG. 2) or a separate liner may be used to cover the bottom. The liner 107 may be constructed of any process-compatible material. A purge channel 119 is formed between the liner 107 and the chamber body 105. The purge gas is flown through the purge channel 119 to confine the gaseous compounds within the reaction region 159, as well as to minimize unwanted deposition on sidewalls of the chamber and improve heat exchange between the sidewalls and the liner 107.

The member 109 defines gas conductance of a path to the exhaust ports 117A, 117B. In one embodiment, the member 109 is an annular ring having a plurality of apertures 109A. The apertures 109A facilitate uniform removal of gaseous compounds and by-products out of the process chamber 101. A diameter, number, and location of the apertures 109A may be determined based on requirements of a particular ALD process. However, in some embodiments, the member 109 may be omitted and, as such, is considered optional.

The ring heater 125 is attached to the lid plate 120 using, for example, conventional fasteners, such as screws and the like. Generally, the ring heater 125 comprises at least one embedded electrical heating element (not shown). During the ALD process, the ring heater 125 defines the temperature (for example, about 90 degrees Celsius or higher) of the lid plate 122 to prevent deposition of gaseous compounds and by-products of the process on the lid plate.

The high-speed valves 155A, 155B (for example, electronically controlled valves) are mounted on the manifold block 150 such that a fluid-tight seal is provided between the manifold and a valve. The seal may be provided using, for example, a gasket (not shown) that is placed between the upper surface of the manifold block 150 and bottom surface of a high-speed valve and compressed thereafter. Such gasket may be formed from stainless steel or other compressible and process-compatible material. In one embodiment, the manifold block 150 comprises one or more cooling channels (not shown) disposed therein to protect the high-speed valves 155A, 155B from exposure to excessive operating temperatures during the ALD process. Generally, the manifold block 150 uses running water as a heat transfer medium.

In operation, the high-speed valves 155A, 155B repeatedly deliver, in a predetermined order, pulses of gaseous compounds into the process chamber 101. The on/off periods of the valves are about 100 msec or less. The high-speed valves 155A, 155B are controlled by the controller 70 or, alternatively, by an application specific controller (nor shown), such as, for example, described in commonly assigned U.S. patent application Ser. No. 09/800,881, filed on Mar. 7, 2001, which is incorporated herein by reference.

In one embodiment, the high-speed valves 155A, 155B are three-port valves. For example, the high speed valve 155A has two intake ports 171A, 177A and one outlet port 173A, and the high speed valve 155B has two intake ports 171B, 177B and one outlet port 173B. In other embodiments, the process chamber 101 may also comprise more than two high-speed valves. However, in other embodiments, a high-speed valve may have only one intake port or more than two intake ports. Suitable high-speed valves are available from Fujikin Inc., of Japan, and other suppliers.

In one exemplary application, one intake port of the valve is coupled to a source of a precursor gas, while the other intake port is coupled to a source of a purge gas and the outlet port is coupled to a respective outlet channel (channels 154A, 154B). More specifically, one valve (e.g., valve 155A) doses a precursor gas (for example, aluminum precursor), the other valve (e.g., valve 155B) doses an oxidizing gas (for example, ozone), and the purge gas can continuously flow through both valves.

FIG. 3 depicts isolated flow paths for individual gaseous compounds. The paths are formed in the lid assembly 120 to separate the compounds within the lid assembly. Generally, each gaseous compound has a dedicated flow path, or, alternatively, the flow path may deliver more than one compound, for example, one precursor or oxidizing gas and one purge gas. For simplicity of description, embodiments of the invention are further described in terms of a three gaseous compound processing system 100 using for example, one precursor gas, one oxidizing gas, and one purge gas. Such processing system comprises at least two isolated flow paths. However, in other embodiments, the processing system 100 may comprise a different number of isolated flow paths and/or use a different number of gaseous compounds.

The first flow path comprises an inlet channel 153A for a first gaseous compound (for example, aluminum precursor, such as at least one of trimethylaluminum (Al(CH3)3), triisopropoxyaluminum (Al(C3H7)3), and dimethylaluminumhydride (Al(CH3)2H), as well as precursors having a chemical structure Al(R1)(R2)(R3), where R1, R2, R3 may be the same or different ligands, and the like), an inlet channel 124A for a purge gas (for example, helium (He), argon (Ar), nitrogen (N2), hydrogen (H2), and the like), the high-speed valve 155A, and an outlet channel 154A. Similarly, the second flow path comprises an inlet channel 153B for a second gaseous compound (for example, oxidizing gas, such as, ozone (O3), oxygen (O2), water (H2O) vapor, nitrous oxide (N2O), nitric oxide (NO), and the like, an inlet channel 124B for the purge gas, the high-speed valve 155B, and an outlet channel 154B. The inlet channels 153A, 153B are generally each coupled at a first end thereof to a source (not shown) of an individual gaseous compound, as well as coupled at a second end thereof to the respective valve 155A, 155B. The inlet channels 124A, 124B similarly transfer one or more purge gases to the valves 155A, 155B. In one embodiment, a diameter of the gas channel 154A increases towards the showerhead 130 to decrease the kinetic energy of the flowing gaseous compound.

In operation, in the depicted embodiment, the first gaseous is dosed (pulsed) using the high-speed valve 155A and then directed to the reaction region 159 through the outlet channel 154A (in the manifold block 150 and lid plate 122) and centrally located slotted openings 131A, 131B (discussed in reference to FIG. 4) in the showerhead 130. Similarly, the second gaseous compound is pulsed using the high-speed valve 155B and then directed to the reaction region 159 through the outlet channel 154B (in the manifold block 150 and lid plate 122), a sealed cavity 156, and a plurality of apertures 133 in the showerhead 130. As such, the first and second gaseous compounds are separated from one another within the lid assembly 120. The cavity 156 can be sealed using, for example, o-ring seals 139A, 139B that are disposed in the channels 129A, 129B, respectively.

A dispersion plate 132 is disposed near the slotted openings 131 A, 131 B and deflects, both horizontally and vertically, a flow of the gaseous compound from the slotted openings 131A, 131B. The plate converts a substantially vertical flow of the compound into the partially horizontal flow and prevents the gaseous compound from impinging directly on the substrate. The dispersion plate 132 may be a part of the showerhead 130 or, alternatively, may be affixed to the showerhead. The dispersion plate 132 re-directs and decreases velocity of the gaseous compound. Without such re-direction, the impinging compound may sweep away (sputter) reactive molecules already disposed on the substrate. Further, the dispersion plate 132 prevents excess deposition onto regions of the substrate that oppose the openings 131A, 131B and, as such, facilitates uniform depositing of the film on the substrate.

FIG. 4 is a schematic, partial view of a portion of the showerhead 130 taken along an arrow 157 in FIG. 3. In one embodiment, the showerhead 130 comprises a plurality of apertures 133 disposed around the slotted openings 131A, 131B. In a further embodiment, the apertures 133 comprise nozzles 130A (FIG. 5) to provide a directional delivery of a gaseous compound to the substrate below. In one embodiment, the nozzles 130A are angled relative to the upper surface of the support pedestal 111. The apertures 133 and nozzles 130A are sized and positioned to provide uniform distribution of the gaseous compound across the substrate. In one embodiment, the apertures 133 are formed on the entire surface of the showerhead 130. In an alternative embodiment, the apertures 133 are formed substantially within a region opposing the support pedestal 111. Although the openings 131A, 131B are shown having a generally circular form factor, the openings may have any other form factor that provides a desired pattern of a flow of a gaseous compound in the reaction region 159. Further, in other embodiments, a number of the centrally located openings in the showerhead 130 may be either one or greater than two.

The dual exhaust system 50 comprises an exhaust channel 108 formed in the liner 107, exhaust ports 117A, 117B) formed in a sidewall of the process chamber 101, exhaust pumps 52A, 52B, and valves 55A, 55B (for example, electronic or pneumatic throttle valves and the like). In one embodiment, operation of the valves 55A, 55B is synchronized with operation of the high-speed valves 155A, 155B, for example, the valves 55A, 55B open and close contemporaneously with such actions of the high-speed valves. During the ALD process, each exhaust pump can be operated independently, and, preferably, is used to remove specific gaseous compounds. In one illustrative embodiment, one pump is used to remove an aluminum precursor and the other pump is used to remove an oxidizing gas, while both pumps are used simultaneously to remove the purge gas.

In this embodiment, a gaseous compound dosed into the chamber body 150 using the high-speed valve 155A is exhausted from the process chamber 101 through the throttle valve 55A that is open when the throttle valve 55B is closed. Similarly, the gaseous compound dosed into the process chamber 101 using the high-speed valve 155B is exhausted from the chamber through the throttle valve 55B that is open when the throttle valve 55A is closed. As such, the dual exhaust system 50 reduces mixing of gaseous compounds in the processing system 100. In a further embodiment, an off-cycle throttle valve (i.e., temporarily closed valve) is not opened to the exhaust port immediately upon initiation of a pulse of a gaseous compound, but instead lags the pulse by a small time delay to reduce cross-contamination of the gaseous compounds within the dual exhaust system 50. Likewise, once both throttle valves are open during the purge step, the throttle valve not associated with the subsequent pulse of the other gaseous compound is closed just prior to initiation of the pulse of the compound. Such synchronized operation of the dual exhaust system 50 is generally performed by a computer controller 70 or, alternatively, by the application specific controller.

The dual exhaust system 50 may further comprise a trap (not shown) disposed between the exhaust pump and throttle valve or between the chamber body 105 and throttle valve. The trap removes by-products of the ALD process from an exhaust stream thereby increasing performance and service intervals of the exhaust pump. The trap may be of any conventional type suited to collection of by-products generated during the ALD process.

Although the dual exhaust system is described, in an alternative embodiment, a single exhaust system may also be used. Such exhaust system may utilize, for example, the pump 52A (or 52B), the optional trap, and the throttle valve 55A (or 55B) coupled to the exhaust port 117A (or 117B). In this embodiment, during an ALD process, the exhaust pump is on and the throttle valve is open.

The ozonator 170 (i.e., source of ozone) is in fluid communication with a source of the precursor (for example, oxygen), as well as with inlet channels 124A, 124B in the manifold block 150. Preferably, the ozonator 170 is disposed in close proximity to the processing system 100 (as shown in FIG. 1), such that losses associated with delivery of ozone into the process chamber 101 are minimized. Ozonators are available, for example, from ASTeX® Products of Wilmington, Mass.

In another embodiment, the oxidizing gas may be produced using, for example, a remote source (not shown), such as a remote plasma generator (for example, DC, radio frequency (RF), microwave (MW) plasma generator, and the like). The remote source produces reactive species, which then are delivered to the process chamber 101. Such remote sources are available from Advanced Energy Industries, Inc. of Fort Collins, Colo. and others. Alternatively, the oxidizing gas can be produced using a thermal gas break-down technique, a high-intensity light source (for example, UV or x-ray source), and the like.

FIG. 5 is a schematic, partial cross-sectional view of an alternative embodiment of the lid assembly 120 comprising the ozonator 170 coupled to the process chamber 101 and to a buffer cavity 520, through a diverter valve 510. Generally, the diverter valve 510 couples the ozonator 170 to the process chamber 101 contemporaneously with an open state (with respect to the inlets 124A, 124B) of the high-speed valves 155A, 155B. Accordingly, the diverter valve 510 couples the ozonator 170 to the buffer cavity 520 when the high-speed valves 155A, 155B are in closed state in respect to the inlets 124A, 124B. The buffer cavity 520 simulates a second process chamber and, as such, using the diverter valve 510, ozone and/or other oxidizing gas can be produced continuously during the ALD process.

In one embodiment, the source 530 comprises an ampoule 531 containing a liquid aluminum precursor and a vaporizer 532. The ampoule 531, the vaporizer 532, and delivering lines may each be heated (for example, using any conventional method of heating) to assist in vaporization of the liquid phase, as well as in preventing the vaporized precursor from condensing. Alternatively, the precursor may be pre-mixed with a solvent that reduces viscosity of the liquid phase, and then vaporized. A carrier gas, such as argon, helium (He), hydrogen (H2), and the like may also be used to facilitate delivery of the precursor, in a form of a gaseous compound, to the process chamber 101.

FIG. 6 is a schematic, partial cross-sectional view of another embodiment an ALD process chamber 301 comprising a circumferential gas delivery assembly 300 and an upper gas delivery assembly 350.

The circumferential gas delivery assembly 300 is disposed in a chamber body 305 and comprises an annular gas ring 310 having at least two separate gas distribution channels 316, 318 to supply at least two separate gaseous compounds into the process chamber 301. Each gas distribution channel is coupled to a source of a gaseous compound and comprises a plurality of ports adapted for receiving gas nozzles. As such, each gas distribution channel is in fluid communication with a plurality of circumferentially mounted gas nozzles. In one embodiment, alternating ports are connected to one of the gas distribution channels, while the other ports are connected to the other channel. In the depicted embodiment, a gaseous compound from the source 352 is distributed through the nozzles 302 of the gas distribution channel 316. Similarly, a gaseous compound from the source 358 is distributed through the nozzles 304 of the gas distribution channel 318.

The upper gas delivery assembly 350 is disposed in the lid assembly 320 and comprises a center gas feed 312 and a nozzle 306. Generally, the center gas feed 312 is in fluid communication with two or more sources 364, 370 of other gaseous compounds.

Such embodiment provides, through the peripheral gas nozzles 302, 304 and the central gas nozzle 306, three separate passes for the gaseous compounds (for example, metal-containing precursor, oxidizing gas, and inert gas) in the process chamber 101. Further, different gaseous compounds can be introduced into a reaction volume at select locations within the chamber. In the depicted embodiment, the gaseous compounds are dosed using four high-speed valves 354A-354D each having one intake port and one outlet port. In other embodiments, during a cyclical deposition process, at least one of the gaseous compounds may be flown into the process chamber 101 continuously. In further embodiments, the gas delivery assembly 300 may comprise more than one annular gas ring 310 or the ring may have more than two gas distribution channels, as well as the upper gas delivery assembly 350 may comprise more than one gas nozzle 306.

Generally, the gas distribution ring 310 and the nozzles 302, 304, and 306 are made of a process-compatible material (for example, aluminum, stainless steel, and the like), as well as are supplied with conventional process-compatible fluid-tight seals (not shown), such as o-rings and the like. The seals isolate the gas distribution channels 316, 318 from one another. In one embodiment, the nozzles 302, 304, and 306 are threaded in the respective ports to provide fluid-tight couplings therein, as well as means facilitating prompt replacement of the nozzles. A form factor of the restricting orifice of a nozzle can be selected for desired dispersion of gaseous compound within the chamber.

FIG. 7 is a schematic, cross-sectional view of still another embodiment of a process chamber 700 for performing the cyclical deposition processes. The process chamber 700 comprises a chamber body 702 and gas distribution system 730.

The chamber body 702 houses a substrate support 712 that supports a substrate 710 in the chamber 700. The substrate support 712 comprises an embedded heater element 722. A temperature sensor 726 (for example, a thermocouple) is embedded in the substrate support 712 to monitor the temperature of the substrate support 712. Alternatively, the substrate 710 may be heated using a source of radiant heat (not shown), such as quartz lamps and the like. Further, the chamber body 702 comprises an opening 708 in a sidewall 704 providing access for a robot to deliver and retrieve the substrate 710, as well as exhaust ports 717A, 717B (only port 717A is shown) that are fluidly coupled to the dual exhaust system 50 (discussed in reference to FIG. 1 above).

The gas distribution system 730 generally comprises a mounting plate 733, a showerhead 770, and a blocker plate 760 and provides at least two separate paths for gaseous compounds into a reaction region 728 between the showerhead 770 and the substrate support 712. In the depicted embodiment, the gas distribution system 730 also serves as a lid of the process chamber 700. However, in other embodiments, the gas distribution system 730 may be a portion of a lid assembly of the chamber 700. The mounting plate 733 comprises a channel 737 and a channel 743, as well as a plurality of channels 746 that are formed to control the temperature of the gaseous compounds (for example, by providing either a cooling or heating fluid into the channels). Such control is used to prevent decomposing or condensation of the compounds. Each of the channels 737, 743 provides a separate path for a gaseous compound within the gas distribution system 730.

FIG. 8 is a schematic, partial cross-sectional view of one embodiment of the showerhead 770. The showerhead 770 comprises a plate 772 that is coupled to a base 780. The plate 772 has a plurality of openings 774, while the base 780 comprises a plurality of columns 782 and a plurality of grooves 784. The columns 782 and grooves 784 comprise openings 783 and 785, respectively. The plate 772 and base 780 are coupled such, that the openings 783 in the base align with the openings 774 in the plate to form a path for a first gaseous compound through the showerhead 770. The grooves 784 are in fluid communication with one another and, together, facilitate a separate path for a second gaseous compound into the reaction region 728 through the openings 785. In an alternative embodiment (FIG. 9), the showerhead 771 comprises the plate 750 having the grooves 752 and columns 754, and a base 756 comprising a plurality of openings 758 and 759. In either embodiment, contacting surfaces of the plate and base may be brazed together to prevent mixing of the gaseous compounds within the showerhead.

Each of the channels 737 and 743 is coupled to a source (not shown) of the respective gaseous compound. Further, the channel 737 directs the first gaseous compound into a volume 731, while the channel 743 is coupled to a plenum 775 that provides a path for the second gaseous compound to the grooves 784. The blocker plate 760 comprises a plurality of openings 762 that facilitate fluid communication between the volume 731, plenum 729, and a plurality of openings 774 that disperse the first gaseous compound into the reaction region 728. As such, the gas distribution system 730 provides separate paths for the gaseous compounds delivered to the channels 737 and 743.

In one embodiment, the blocker plate 760 and the showerhead 770 are electrically isolated from one another, the mounting plate 733, and chamber body 702 using insulators (not shown) formed of, for example, quartz, ceramic, and like. The insulators are generally disposed between the contacting surfaces in annular peripheral regions thereof to facilitate electrical biasing of these components and, as such, enable plasma enhanced cyclical deposition techniques, for example, plasma enhanced ALD (PEALD) processing.

In one exemplary embodiment, a power source may be coupled, for example, through a matching network (both not shown), to the blocker plate 760 when the showerhead 770 and chamber body 702 are coupled to a ground terminal. The power source may be either a radio-frequency (RF) or direct current (DC) power source that energizes the gaseous compound in the plenum 729 to form a plasma. Alternatively, the power source may be coupled to the showerhead 770 when the substrate support 712 and chamber body 702 are coupled to the ground terminal. In this embodiment, the gaseous compounds may be energized to form a plasma in the reaction region 728. As such, the plasma may be selectively formed either between the blocker plate 760 and showerhead 770, or between the showerhead 770 and substrate support 712. Such electrical biasing schemes are disclosed in commonly assigned U.S. patent application Ser. No. 10/354,214, filed Jan. 27, 2003 (Attorney docket number 7660), which is incorporated herein by reference.

In still another embodiment, the blocker plate 760 and showerhead 770 may be coupled to separate outputs of the matching network to produce an electrical field gradient to direct the plasma species through the openings in the showerhead 770 towards the substrate 710. In yet another alternative embodiment, to produce the electrical field gradient, the blocker plate 760 and showerhead 770 may be individually coupled to separate power sources each using a separate matching network.

Referring to FIG. 1, the controller 70 comprises a central processing unit (CPU) 123, a memory 116, and a support circuit 114. The CPU 123 may be of any form of a general-purpose computer processor that is used in an industrial setting. The software routines can be stored in the memory 116, such as random access memory, read only memory, floppy or hard disk drive, or other form of digital storage. The support circuit 114 is coupled to the CPU 123 in a conventional manner and may comprise cache, clock circuits, input/output sub-systems, power supplies, and the like. The software routines, when executed by the CPU 123, transform the CPU into a specific purpose computer (controller) 70 that controls the reactor 100 such that the processes are performed in accordance with the present invention. The software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the reactor 100.

FIG. 10 is a schematic, top plan view of an exemplary integrated processing system 1000 configured to form a film stack having an aluminum oxide layer. One such integrated processing system is a CENTURA® system that is available from Applied Materials, Inc. of Santa Clara, Calif. The particular embodiment of the system 1000 is provided to illustrate the invention and should not be used to limit the scope of the invention.

The system 1000 generally includes load lock chambers 1022 that protect the vacuumed interior of the system 1000 from contaminants. A robot 1030 having a blade 1034 is used to transfer the substrates between the load lock chambers 1022 and process chambers 1010, 1012, 1014, 1016, 1020. One or more of the chambers is an aluminum oxide chamber, such as the process chambers described above in reference to FIGS. 1-9. Further, one or more chambers may be adapted to deposit a material used during fabrication of integrated circuits, as well as be a cleaning chamber (for example, a plasma cleaning chamber) used to remove unwanted products from a substrate. Example of such cleaning chamber is the PRECLEAN II™ chamber available from Applied Materials, Inc. of Santa Clara, Calif. Optionally, one or more of the chambers 1010, 1012, 1014, 1016, 1020 may be an annealing chamber or other thermal processing chamber, for example, the RADIANCE™ chamber available from Applied Materials, Inc. of Santa Clara, Calif. Further, the system 1000 may comprise one or more metrology chambers 1018 connected thereto using, for example, a factory interface 1024. Alternatively, the system 1000 may comprise other types of process chambers.

One example of a possible configuration of the integrated processing system 1000 includes a load lock chamber (chamber 1022), an aluminum oxide cyclical deposition chamber (chamber 1010), a first dielectric deposition chamber (chamber 1012), a metal deposition chamber (chamber 1014), a second dielectric deposition chamber (chamber 1016), and an annealing chamber (chamber 1020).

The processing system 1000 may be used to deposit with low film contamination and minimal gas phase reactions between the precursors various metal-containing films, for example, aluminum oxide, copper, titanium, tantalum, tungsten films, and the like. In one illustrative application, the processing system 1000 is used to deposit an aluminum oxide film. Various cyclical deposition processes used to deposit the aluminum oxide and other films using the processing system 1000 are described in commonly assigned U.S. patent application Ser. No. 60/357,382, filed Feb. 15, 2002, which is incorporated herein by reference.

Although the foregoing discussion referred to the apparatus for performing cyclical deposition processes, other processing apparatuses can benefit from the invention. The invention can be practiced in other semiconductor processing systems wherein the parameters may be adjusted to achieve acceptable characteristics by those skilled in the art by utilizing the teachings disclosed herein without departing from the spirit of the invention.

While foregoing is directed to the illustrative embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

1. A gas distribution system for providing at least two gases to a processing chamber, comprising:

a lid assembly and a manifold comprising a first isolated flow path and a second isolated flow path, wherein the first isolated flow path includes an outlet in fluid communication with a central gas channel having a diameter that radially expands towards a showerhead coupled to the lid assembly;
a first high speed valve coupled to the manifold and in fluid communication with the first isolated flow path and a second high speed valve coupled to the manifold and in fluid communication with the second isolated flow path; and
a valved exhaust system in communication with and at least partially synchronized with the operation of at least the first high speed valve.

2. The apparatus of claim 1, wherein first high speed valve and the second high speed valve is configured to pulse a precursor gas and continually flow a purge gas or a carrier gas.

3. The apparatus of claim 1, wherein a plasma generator is coupled to the processing chamber.

4. The apparatus of claim 3, wherein the plasma generator is a radio frequency source.

5. The apparatus of claim 3, wherein the plasma generator is a microwave source.

6. The apparatus of claim 1, wherein the showerhead comprises a central region having a plurality of slotted openings and an outer region having a plurality of apertures surrounding the plurality of slotted openings.

7. The apparatus of claim 6, wherein the slotted openings are positioned to direct a substantially vertical gas flow along the axis of the central gas channel to a partially horizontal gas flow that is at least partially orthogonal to the axis of the central gas channel.

8. The apparatus of claim 1, wherein a dispersion plate is positioned below the showerhead.

9. A gas distribution system for providing at least two gases to a processing region in a processing chamber, comprising:

a valve assembly comprising a first high speed valve and a second high speed valve coupled to a manifold, wherein the manifold comprises: a first isolated flow path; and a second isolated flow path, wherein each of the first and second isolated flow paths comprises at least one precursor gas inlet;
a lid assembly, comprising: a showerhead coupled to a lid plate, wherein the showerhead comprises a central region having slotted openings and an outer region having a plurality of apertures; and a central gas channel passing through the lid plate, wherein the central gas channel includes an upper portion and a lower portion having an increasing diameter towards the showerhead; and
a valved exhaust system in communication with the operation of the first high speed valve and the second high speed valve, wherein each isolated flow path receives a precursor gas and a carrier gas that is pulsed to the processing region by the first high speed valve and the second high speed valve.

10. The apparatus of claim 9, wherein a dispersion plate is positioned below the slotted openings.

11. The apparatus of claim 10, wherein the dispersion plate is fixed to the showerhead.

12. The apparatus of claim 10, wherein the dispersion plate is integral to the showerhead.

13. The apparatus of claim 9, wherein the slotted openings are positioned to direct a substantially vertical gas flow along the axis of the central gas channel to a partially horizontal gas flow that is at least partially orthogonal to the axis of the central gas channel.

14. The apparatus of claim 9, wherein a plasma generator is coupled to the processing chamber.

15. The apparatus of claim 14, wherein the plasma generator is a radio frequency source.

16. The apparatus of claim 14, wherein the plasma generator is a microwave source.

17. A gas distribution system for providing at least two gases to a processing region in a processing chamber, comprising:

a valve assembly comprising a first high speed valve and a second high speed valve coupled to a manifold, wherein the manifold comprises: a first isolated flow path; and a second isolated flow path, wherein each of the first and second isolated flow paths comprises at least one precursor gas inlet;
a plasma source coupled to the at least one precursor inlet;
a lid assembly, comprising: a showerhead coupled to a lid plate, wherein the showerhead comprises a central region having slotted openings and an outer region having a plurality of apertures; and a central gas channel passing through the lid plate, wherein the central gas channel includes an upper portion and a lower portion having an increasing diameter towards the showerhead; and
a valved exhaust system in communication with the operation of the first high speed valve and the second high speed valve, wherein each isolated flow path receives a precursor gas and a carrier gas that is pulsed to the processing region by the first high speed valve and the second high speed valve.

18. The apparatus of claim 17, wherein a dispersion plate is positioned below the slotted openings.

19. The apparatus of claim 18, wherein the dispersion plate is fixed to the showerhead.

20. The apparatus of claim 17, wherein the slotted openings are positioned to direct a substantially vertical gas flow along the axis of the central gas channel to a partially horizontal gas flow that is at least partially orthogonal to the axis of the central gas channel.

Patent History
Publication number: 20070095285
Type: Application
Filed: Dec 19, 2006
Publication Date: May 3, 2007
Inventors: Randhir Thakur (San Jose, CA), Alfred Mak (Union City, CA), Ming Xi (Palo Alto, CA), Walter Glenn (Pacifica, CA), Ahmad Khan (Milpitas, CA), Ayad Al-Shaikh (Santa Clara, CA), Avgerinos Gelatos (Redwood City, CA), Salvador Umotoy (Antioch, CA)
Application Number: 11/612,931
Classifications
Current U.S. Class: 118/715.000; 118/723.00R
International Classification: C23C 16/00 (20060101);