HIGH ASPECT RATIO SILICON OXIDE ETCH

- Matheson Tri-Gas, Inc.

Methods of etching high-aspect-ratio features in dielectric materials such as silicon oxide are described. The methods may include a concurrent introduction of a fluorocarbon precursor and an iodo-fluorocarbon precursor into a substrate processing system housing a substrate. The fluorocarbon precursor may have a F:C atomic ratio of about 2:1 or less, and the iodo-fluorocarbon may have a F:C ratio of about 1.75:1 to about 1.5:1. Exemplary precursors may include C4F6, C5F8 and C2F3I, among others. The substrate processing system may be configured to allow creation of a plasma useful for accelerating ions created in the plasma toward the substrate. The substrate may have regions of exposed silicon oxide and an overlying patterned photoresist layer which exposes narrow regions of silicon oxide. The etch process may remove the silicon oxide to a significant depth while maintaining a relatively constant width down the trench.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCES TO RELATED APPLICATIONS

This application claims the benefit of U.S. Prov. Pat. App. No. 61/241,287 filed Sep. 10, 2009, and titled “ETCH AND CLEAN PROCESSES,” which is incorporated herein by reference for all purposes.

BACKGROUND OF THE INVENTION

Integrated circuit fabrication methods have reached a point where many hundreds of millions of transistors are routinely formed on a single chip. Each new generation of methods and equipment allow commercial scale fabrication of smaller and faster transistors, but also increase the difficulty of manufacturing the new devices. Within the devices, contact apertures (or “contacts”) are needed to establish electrical contact between specific portions of vertically separated metal layers. Contacts typically begin with openings etched through a layer of dielectric material, for example silicon oxide, to an underlying metal layer.

As circuit component structures, including contacts, shrink below 50 nm, tolerances become more narrow and fabrication methods become more complicated. Aspect ratios far greater than 10:1 (height to width) of contacts are routinely necessary in state-of-the-art devices. Narrow and tall contacts require a sidewall which is close to perpendicular to the substrate surface or else the contact formed will exhibit high resistivity at the bottom junction. In addition to contacts, lines, trenches, and other gaps in the dielectric layers also become smaller, and fabrication of these structures demands similar precision. Combinations of precisely defined holes, trenches, and other gaps are now common in integrated circuit fabrication. Thus, there is a need for improved methods of forming these structures during integrated circuit fabrication.

BRIEF SUMMARY OF THE INVENTION

Methods of etching high-aspect-ratio features in dielectric materials such as silicon oxide are described. The methods may include a concurrent introduction of a fluorocarbon precursor and an iodo-fluorocarbon precursor into a substrate processing system housing a substrate. The fluorocarbon precursor may have a F:C atomic ratio of about 2:1 or less, and the iodo-fluorocarbon may have a F:C ratio of about 1.75:1 to about 1.5:1. Exemplary precursors may include C4F6, C5F8 and C2F3I, among others. The substrate processing system may be configured to allow creation of a plasma (e.g., a high-density plasma) useful for accelerating ions created in the plasma toward the substrate. The substrate may have regions of exposed silicon oxide and an overlying patterned photoresist layer which exposes narrow regions of silicon oxide. The etch process may remove the silicon oxide to a significant depth while maintaining a relatively constant width down the trench.

Embodiments of the invention include methods of etching a silicon-and-oxygen-containing layer. The methods include forming a plasma from an etchant precursor mixture. The etchant precursor mixture may include a first precursor including a fluorocarbon having at least one double bond, and a second precursor including an iodo-fluorocarbon having at least one double bond. The methods further include exposing the silicon-and-oxygen-containing layer to the plasma. Etchant species from the plasma may react and remove exposed portions of the silicon-and-oxygen-containing layer.

Embodiments may also include methods of forming a fluorinated carbon layer while etching a trench in a silicon-and-oxygen-containing dielectric layer. The methods may include forming a plasma from a precursor mixture including a fluorocarbon and an iodo-fluorocarbon. The plasma generates one or more etching species and one or more polymer deposition precursors. The methods further include exposing the dielectric layer to the plasma. The etching species may react and remove silicon-and-oxygen-containing material in the layer to form a trench having sidewalls. The polymer deposition precursors may react to form the fluorinated carbon layer on the sidewalls of the trench.

Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the disclosed embodiments. The features and advantages of the disclosed embodiments may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.

BRIEF DESCRIPTION OF THE DRAWINGS

A further understanding of the nature and advantages of the disclosed embodiments may be realized by reference to the remaining portions of the specification and the drawings.

FIG. 1 is a high aspect ratio trench etching process according to disclosed embodiments.

FIG. 2 is a graph of silicon oxide etch rates of iodo-fluorocarbons for use in etching processes.

FIG. 3 is a graph of silicon nitride etch rates of iodo-fluorocarbons for use in etching processes.

FIG. 4 is a chemical schematic of plasma products formed from a fluorocarbon (C4F6) and an iodo-fluorocarbon (C2F3I) in an etch process according to disclosed embodiments.

FIG. 5 is a chemical schematic of plasma products formed from a fluorocarbon (C4F6) and an iodo-fluorocarbon (C2F3I) in an etch process according to disclosed embodiments.

FIG. 6 is a chemical schematic of a trench forming during an etch process according to disclosed embodiments.

FIG. 7 is a graph of substrate thickness measurements following a plasma treatment with a plasma formed by a gas mixture that includes C2F3I and C4F6.

FIG. 8 is a graph of substrate thickness measurements following a plasma treatment with a plasma formed by a gas mixture that includes C4F6.

FIG. 9 is a graph of substrate thickness measurements following a plasma treatment with a plasma formed by a gas mixture that includes C2F5I and C4F6.

FIG. 10 is a graph of substrate thickness measurements following a plasma treatment with a plasma formed by a gas mixture that includes CF3I and C4F6.

In the appended figures, similar components and/or features may have the same reference label. Further, various components of the same type may be distinguished by following the reference label by a dash and a second label that distinguishes among the similar components. If only the first reference label is used in the specification, the description is applicable to any one of the similar components having the same first reference label irrespective of the second reference label.

DETAILED DESCRIPTION OF THE INVENTION

Methods of etching high-aspect-ratio features in dielectric materials such as silicon oxide are described. The methods may include a concurrent introduction of a fluorocarbon precursor and an iodo-fluorocarbon precursor into a substrate processing system housing a substrate. Exemplary fluorocarbon precursors may have a fluorine-to-carbon (“F:C”) atomic ratio from about 2:1 to about 1:1. These precursors may include, for example, C3F6, C4F6 (e.g., 1,3-hexafluorobutadiene), C5F8, C6F6 (e.g., hexafluorobenzene), and mono or poly-olefinic C7 perfluorocarbons (e.g., C7F14, C7F12, C7F10, etc.), among other fluorocarbons. Exemplary iodofluorocarbons may have an F:C atomic ratio from about 1.75:1 to about 1.5:1. These precursors may include singly substituted iodofluorocarbons such as mono-iodofluorocarbons (e.g., C2F3I, C3F5I, and C4F7I, etc.) among iodofluorocarbons. The substrate processing system may be configured to allow creation of a plasma (e.g., a high-density plasma) useful for accelerating ions created in the plasma toward the substrate. The substrate may have regions of exposed silicon oxide and an overlying patterned photoresist layer which exposes narrow regions of silicon oxide. The etch process may remove the silicon oxide to a significant depth while maintaining a relatively constant width down the trench.

Without wishing to be bound by a particular theory, it is thought that the inclusion of iodine in one of the precursors helps form a more dense polymer layer on the sidewalls of the trench. The polymer film restricts the etching to a substantially perpendicular direction in the dielectric layer, and reduces unwanted etching extending laterally into the sidewalls. The iodine ions in the plasma also increase the sputtering activity when a plasma is biased relative to the substrate. Sputtering allows further mass transport of etchants to the etch front at the bottom of a forming trench. Iodine may also be incorporated into the protective polymer present on the sidewall. The incorporated iodine may increase the density of the protective polymer layer, further reducing the etching through the sidewalls as the high-aspect-ratio trenches are formed. The incorporated iodine may also lower the resistivity of the polymer and allow the increased charge dissipation, especially near the bottom of the trench where excessive charge accumulation is common. Dissipation of positive charge at the bottom of the trench may remove an electrostatic barrier to further ionic bombardment.

In order to better understand and appreciate the invention, reference is now made to FIG. 1, which is a flowchart showing selective steps in a high aspect ratio trench etching process according to embodiments of the invention. The process may include transferring a substrate having a patterned photoresist layer overlying a silicon oxide layer into a processing chamber (operation 110). A flow of, for example, 1,3-hexafluorobutadiene (C4F6) may be introduced to the processing chamber (operation 115) and a concurrent flow of C2F3I may also introduced (operation 120). A plasma may be formed (operation 122) from the two precursors (C4F6 and C2F3I) to create plasma products. The plasma may be biased (operation 125) such that charged plasma products are accelerated toward the substrate surface. Charged and neutral plasma products may collide with the exposed silicon oxide surface and progressively remove material to form a narrow trench in the surface of the substrate (operation 130). After the narrow trench is etched, the etched substrate may remain in the chamber for additional process steps, or may be removed from the processing chamber (operation 145).

Maintaining a narrow trench profile while the etching process proceeds may benefit from the formation of a dense polymer on the walls of the trench. The dense polymer resists attack from the continued exposure of the plasma products, which reduces the extent of sidewall etching. The atomic mass of iodine (roughly ten times greater than carbon or fluorine) enables the iodine to arrive in the trench with greater kinetic energy. Charged fragments which include iodine, carbon and fluorine arrive with a similar impact. All these species serve to sputter the polymer that forms at the bottom of the trench more than the polymer forming on the trench sidewalls. This allows the protective layer on the sidewalls to be retained while the etching proceeds at the bottom. Some of the charged iodine may carry fluorocarbon radicals that chemically etch exposed regions of the bottom of the trench. Thus, the exposed silicon oxide may be removed by chemical etching as well as physical sputtering.

A variety of fluorocarbons can be used to chemically etch silicon oxide as well as to form a protective polymer sidewall coating. For example, carbon tetrafluoride (CF4) possesses a fluorine-to-carbon ratio of 4:1 and exhibits some sidewall etching as a narrow trench is formed using CF4 plasma products. Etch parameters may improve as the fluorine-to-carbon ratio is decreased. CHF3 has a F:C ratio of 3:1. Greater sidewall protection is experienced for C4F8 and C3F6 which each have an F:C ratio of 2:1. C5F8 and C4F6 have F:C ratios of 1.6:1 and 1.5:1, respectively. When the fluorocarbons are fully-saturated perfluorocarbons, decreasing the F:C ratio may increase the number of double bonds in the fluorocarbon, which may influence the plasma fragmentation pattern and chemical species formed during plasma excitation. Regardless of the mechanism, increasing the carbon proportion in the plasma results in an increase in concentration of etching species like CFz+ ions (where z is an integer from 1 to 3) and CxFy fragments which grow the protective polymer layer on the sidewalls. Exemplary F:C ratios for the fluorocarbons may be about 2:1 or less, for example about 1.33:1 or less. The above-described precursors are perfluorocarbons, however less substituted and/or differently substituted fluorocarbons, for example where one or more hydrogens replace fluorine groups, may also be used. In the case of hydrogenated fluorocarbons, the F:C ratio is calculated only from the carbons and fluorines present in the molecule. Thus, substituting hydrogens in for fluorines will decrease the F:C ratio.

The fluorocarbons just described are combined with an iodo-fluorocarbon to realize the benefits of iodine in sputtering and the other process benefits iodine provides. FIGS. 2-3 are graphs of silicon oxide and silicon nitride etch rates of iodo-fluorocarbons for use in etching processes according to disclosed embodiments. These graphs include only iodo-fluorocarbon precursors without the concurrent contribution of non-iodine containing fluorocarbons, such as the above-described perfluorocarbons. Silicon oxide etch rates are all significant for each of the iodo-fluorocarbons shown. The etch rates of silicon nitride, on the other hand, are very low for two of the precursors. C3F7I etches silicon nitride much less than silicon oxide, and C2F3I actually deposits a polymer on the silicon nitride which is represented as a negative etch rate in FIG. 3. The etch selectivity of either of these precursors may be beneficial, for example, when a silicon nitride etch stop layer is used in a process flow.

Combining an iodo-fluorocarbon with a fluorocarbon enables etch rates of high-aspect-ratio trenches to be high while further reducing sidewall etch rates via the production of the protective polymer layer. The combination of the two precursors may be referred to herein as an etchant precursor mixture. This combination significantly reduces the defects, such as twisting, bowing and bending, which can occur during formation of narrow trenches. In one exemplary combination both the fluorocarbon and the iodofluorocarbon have F:C ratios of 1.5:1. FIG. 4 is a chemical schematic of plasma products formed from a fluorocarbon (C4F6) and an iodo-fluorocarbon (C2F3I) in an etch process according to embodiments of the invention. The presence of C4F6 is generally associated with the formation of CxFy (x>=2) which helps in the production of the protective sidewall polymer, however, it also is thought to produce CF+ etching fragments. The inclusion of C2F3I in the process plasma contributes to the polymer since it is thought to produce CF2 fragments but its inclusion also produces CFx+ and I+ fragments which assist in the etch process. Fragments which participate in the protective polymer may be described as polymer deposition precursors. Oxygen may simultaneously be added to the plasma to adjust the polymer deposition rate. A higher concentration of oxygen can be used to reduce the deposition rate by reacting with some of the polymer deposition precursors (CxFy(x>=2) and CF2) before they are included in the growing polymer. In some cases, it may be desirable to reduce the polymer deposition rate to retain physical access to a very narrow trench. Beyond a certain polymer thickness (e.g., about 2 nm), additional thickness may be unnecessary. Trenches etched with the present methods may have an aspect ratio of the height to the width that is, for example, greater than about 5:1; greater than about 10:1; greater than about 20:1; greater than about 30:1; or greater than about 60:1, among other aspect ratios. The widths of the narrow trenches may be, for example, less than about 40 nm; less than about 30 nm; less than about 25 nm; or less than about 20 nm, among other trench widths.

FIG. 5 also shows a chemical schematic of plasma products formed with the same precursors (C4F6 and C2F3I) as FIG. 4. Additional fragments (charged and neutral) are shown on the right-hand side and compared to the fragments which would be present in the absence of the iodo-fluorocarbon (left side). The polymer becomes more dense when the iodo-fluorocarbon is included due to the formation of a tightly cross-linked network. Iodine is present and may enable the cross-linking due to its role in polymerization, in disclosed embodiments. The dense polymer is shown on a horizontal surface in the figure but the productive polymer would actually be present on a vertical surface (the sidewalls of a forming trench).

FIG. 6 is a chemical schematic of a trench forming during an etch process according to disclosed embodiments. The silicon oxide (SiO2) forms a flat surface having patterned photoresist (PR) on top. Both C4F6 and C2F3I are concurrently flowed into an process chamber (e.g., an HDP-CVD chamber) and excited in the plasma. Plasma products are produced and react with the exposed silicon oxide surface. Through various chemical and physical means the silicon oxide is removed and protective polymer (marked as CxFyI) may be formed on the sidewalls of the deepening trench. Iodine more easily donates electrons, which reduces the resistance along the protective polymer. This draws the electrons from the bottom of the trench and can reduce the electrostatic repulsion experienced by further incoming charged plasma products. The reduction in the electrostatic repulsion enables the sputtering mechanism to continue which, in turn, allows a relatively high etch rate to be maintained at the bottom of the trench. The sidewalls of the trench may be, for example, within 1° of perpendicular to the initially-exposed surface of the silicon oxide layer.

Generally speaking silicon oxide may also be silicon-and-oxygen-containing material and, as such, may include concentrations of other elemental constituents such as nitrogen, hydrogen, carbon and the like. In some embodiments, silicon oxide consists essentially of silicon and oxygen. The delivery of the iodo-fluorocarbon and the fluorocarbon may be assisted by flowing a matrix gas (or carrier gas) through a bubbler which allows a vapor pressure of either (or both) precursors to be carried into the plasma excitation region near the substrate. The matrix gas contains no halogen, in disclosed embodiments, and may include He, Ar, Ne, N2 and/or H2. The fluorocarbon may have be saturated (i.e., all single bonds between linked carbon atoms) or it may be unsaturated (i.e., at least one double bond between linked carbon atoms). Exemplary fluorocarbons may also be unsubstituted or substituted (e.g., where one or more fluoroines are replaced with a hydrogen, another halogen, an oxygen moiety, a nitrogen moiety, etc). The fluorocarbon may be non-cyclic or it may be cyclic (e.g., perfluorobenzene-C6F6). The fluorocarbon may also be a branched or unbranched fluorocarbon, in different embodiments. Exemplary fluorocarbons include CF4, CH2F2, CHF3, C4F6, C4F8, C5F8, C3F6 or C6F6. The fluorocarbon may also be an oxyfluorocarbon (e.g. C3F6O or C4F8O). Oxygen may be added to the plasma through a separate oxygen-containing compound which may include O2, O3, CO, CO2, N2O, NO and/or NO2. Regardless of the source of the oxygen, the inclusion of oxygen in a polymer precursor results in an oxygen-containing polymer precursor. The iodo-fluorocarbon may be C2F3I, C3F5I or C4F7I, in disclosed embodiments. The etchant precursor may further include a bombardment gas (e.g., Xe) to augment the sputtering action of iodine and iodine-containing radicals.

Experimental

FIGS. 7-10 show plots of plasma deposition and etch rates on various substrates using various fluorocarbons and fluorocarbon/iodo-fluorocarbon mixtures. The experiments show that a plasma formed from a combination of 1,3-hexafluorobutadiene (C4F6) and iodo-trifluoroethene (C2F3I) has surprisingly improved etch selectivity for silicon oxide compared to apparently similar mixtures of fluorocarbons and iodo-fluorocarbons. Specifically, tests were conducted to measure the degree of plasma deposition or etching on various substrates, including a photoresist film. a hard-mask film, polysilicon layer, and a silicon dioxide layer. In one experiment, a gas mixture was supplied to the plasma reaction chamber that included C2F3I and 1,3-hexafluorbutadiene (C4F6), mixed with molecular oxygen and argon. After the different substrates were exposed to a plasma made from the gas mixture for a predetermined period of time, changes in the substrate thicknesses were measured and recorded in the graph shown in FIG. 7. Additional comparative tests were done by changing fluorocarbon and iodo-fluorocarbon gases while leaving other parameters constant, such as oxygen and argon gas flow rates, plasma reaction chamber pressure, and plasma power, among other process conditions. FIG. 8 shows a graph of changes in substrate thickness when only C4F6 was used in the gas mixture without any iodo-fluorocarbon, but otherwise having the same process conditions. FIG. 9 shows a graph of thicknesses when a combination of C4F6 and C2F5I were used, while FIG. 10 shows a graph of thicknesses when a combination of C4F6 and CF3I were used. Table 1 below summarizes the thickness results for the various combinations of plasma gases:

TABLE 1 Changes In Substrate Thickness After Exposure to Plasma From Various Gas Mixtures Photo Gas Mixture Resist Hard Mask Poly Silicon Silicon Dioxide C2F3I + C4F6 +2004 Å +1364 Å  +937 Å −263 Å C4F6 +1111 Å +1116 Å +1198 Å +451 Å C2F5I + C4F6  −595 Å  +505 Å −2199 Å −314 Å CF3I + C4F6  −124 Å  −380 Å −1122 Å −177 Å

The empirical results show that only the combination of C2F3I and C4F6 selectively etch silicon dioxide while depositing material on the other three substrate materials tested (i.e., photo resist, hard mask, and poly-silicon). When C4F6 was used without an iodo-fluorocarbon, material was deposited on all the substrate tested. Conversely, when a mixture of CF3I and C4F6 was used, all the substrates were etched to some degree. While mixture of C2F5I and C4F6 did appear to selectively etch the photo resist over the hard mask, it also appeared to unselectively etch both the poly-silicon and the silicon dioxide. Only the combination of C2F3I and C4F6 showed selective etching of silicon dioxide while depositing material on poly-silicon.

These empirically derived results highlight the benefit and performance characteristics shown by the combination of C2F3I and C4F6 to selectively etch SiO2 over other materials such as hardmasks, poly-silicon, and photoresist, which may be present on the substrate. While not wishing to be bound to any particular theory, it is believed that the olefinic bonds present in both the C4F6 and C2F3I may favor the production of plasma excited species that selectively etch silicon dioxide over the other materials tested. In addition to both molecules containing a double bond between two carbon atoms, the fluorine to carbon ratio for each molecule is 1:1.5, F:C. It is thought that when exposed to plasma conditions, the fragmentation pattern of both molecules are similar which leads to similar fragments formed that are responsible for both etching and polymer deposition. It is also thought that the presence of iodine facilitates etching at the bottom of the trench via a sputtering mechanism wherein heavy ions are accelerated to the bottom of the trench and remove the substrate of interest.

As used herein “substrate” may be a support substrate with or without layers formed thereon. The support substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits. The term “precursor” is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface. A gas (or precursor) may be a combination of two or more gases (or precursors) and may include substances which are normally liquid or solid but temporarily carried along with other “matrix gases” or, synonymously, “carrier gases”. Matrix gases or carrier gases may be an “inert gas” which refers to any gas which does not form chemical bonds when etching or being incorporated into a film. Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film. The terms “trench” and “gap” may be used essentially interchangeably throughout the application and are not limited to a particular etched geometry or group of geometries. Examples of trenches may include vias, openings, holes, channels, etc., having a variety of cross-sectional shapes such as circular, oval, polygonal, and rectangular, and trapezoidal, among other geometries.

Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the disclosed embodiments. Additionally, a number of well known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.

Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.

As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a process” includes a plurality of such processes and reference to “the dielectric material” includes reference to one or more dielectric materials and equivalents thereof known to those skilled in the art, and so forth.

Also, the words “comprise,” “comprising,” “include,” “including,” and “includes” when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims

1. A method of etching silicon-and-oxygen-containing layer, the method comprising:

forming a plasma from an etchant precursor mixture, the etchant precursor mixture comprising: a first precursor comprising fluorocarbon having at least one double bond, and a second precursor comprising iodo-fluorocarbon having at least one double bond;
exposing the silicon-and-oxygen-containing layer to the plasma, wherein the plasma reacts with and removes an exposed portion of the silicon-and-oxygen-containing layer.

2. The method of claim 1 wherein the etchant precursor mixture further comprises a matrix gas that does not contain a halogen.

3. The method of claim 1 wherein the fluorocarbon is a perfluorocarbon or a hydrofluorocarbon.

4. The method of claim 1 wherein the fluorocarbon comprises a substituted or unsubstituted fluorocarbon; a cyclic or non-cyclic fluorocarbon; or a branched or unbranched fluorocarbon.

5. The method of claim 1 wherein the fluorocarbon has an empirical formula selected from the group consisting of CF4, CH2F2, CHF3, C4F6, C4F8, C5F8, C3F6, C6F6.

6. The method of claim 1 wherein the fluorocarbon is an oxyfluorocarbon.

7. The method of claim 6 wherein the oxyfluorocarbon has an empirical formula selected from the group consisting of C3F6O and C4F8O.

8. The method of claim 1 wherein the silicon-and-oxygen-containing layer consists essentially of silicon and oxygen.

9. The method of claim 1 wherein the iodofluorocarbon has an empirical formula selected from the group consisting of C2F3I, C3F5I, and C4F7I.

10. The method of claim 1 wherein the fluorocarbon is C4F6 and the iodofluorocarbon is C2F3I.

11. The method of claim 10 wherein C4F6 comprises 1,3-hexafluorobutadiene.

12. The method of claim 1 wherein the fluorocarbon has an atomic F:C ratio of about 2:1 or less.

13. The method of claim 1 wherein the iodo-fluorocarbon has an atomic F:C ratio of about 1.75:1 to about 1.5:1.

14. The method of claim 2 wherein the matrix gas is selected from the group consisting of He, Ar, Ne, N2, and H2.

15. The method of claim 1 wherein the etchant precursor mixture further comprises an oxidative precursor comprising an oxygen-containing compound.

16. The method of claim 15 wherein the oxygen-containing compound is selected from the group consisting of O2, O3, CO, CO2, N2O, NO, and NO2.

17. The method of claim 1 wherein the etchant precursor mixture further comprises a bombardment gas comprising Xe.

18. A method of forming a fluorinated carbon layer while etching a trench into a silicon-and-oxygen-containing layer, the method comprising:

forming a plasma from a precursor mixture comprising a fluorocarbon and an iodo-fluorocarbon, wherein the plasma generates one or more etching species and one or more polymer deposition precursors; and
exposing the silicon-and-oxygen-containing layer to the plasma, wherein the etching species react with and remove silicon-and-oxygen-containing material to form a trench having sidewalls within the silicon-and-oxygen-containing layer, and the polymer deposition precursors react to form the fluorinated carbon layer on the sidewalls of the trench.

19. The method of claim 18 wherein the etching species comprise CF+.

20. The method of claim 18 wherein the fluorocarbon has an atomic F:C ratio of about 2:1 or less.

21. The method of claim 18 wherein the iodo-fluorocarbon has an atomic F:C ratio of about 1.75:1 to about 1.5:1.

22. The method of claim 18 wherein the sidewalls of the trench are within 1 of perpendicular to an initially-exposed surface of the silicon-and-oxygen-containing layer.

23. The method of claim 18 wherein the precursor mixture further comprises an oxygenated additive.

24. The method of claim 23 wherein the oxygenated additive forms an oxygen-containing polymer precursor.

25. The method of claim 18 wherein the silicon-and-oxygen-containing layer consists essentially of silicon and oxygen.

Patent History
Publication number: 20110059617
Type: Application
Filed: Sep 9, 2010
Publication Date: Mar 10, 2011
Applicant: Matheson Tri-Gas, Inc. (Basking Ridge, NJ)
Inventors: Glenn Mitchell (Longmont, CO), Robert Torres, JR. (Parker, CO), Adam Seymour (Longmont, CO)
Application Number: 12/878,171
Classifications
Current U.S. Class: Silicon Oxide Or Glass (438/723); Plasma Etching; Reactive-ion Etching (epo) (257/E21.218)
International Classification: H01L 21/3065 (20060101);