REPLACEMENT GATE STRUCTURES FOR SEMICONDUCTOR DEVICES

- GLOBALFOUNDRIES INC.

The present disclosure is generally directed to various replacement gate structures for semiconductor devices. One illustrative gate structure disclosed herein includes, among other things, a gate insulation layer and a layer of gate electrode material with a substantially horizontal portion having a first thickness and a substantially vertical portion having a second thickness that is less than the first thickness. Furthermore, the substantially horizontal portion of the layer of gate electrode material is positioned adjacent to a bottom of the replacement gate structure and above at least a portion of the gate insulation layer, and the substantially vertical portion is positioned adjacent to sidewalls of the replacement gate structure.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATION

This is a continuation of co-pending application Ser. No. 13/445,547, filed Apr. 12, 2012.

BACKGROUND OF THE INVENTION

1. Field of the Invention

Generally, the present disclosure relates to sophisticated semiconductor devices, and, more specifically, to replacement gate structures for semiconductor devices.

2. Description of the Related Art

The fabrication of advanced integrated circuits, such as CPU's, storage devices, ASIC's (application specific integrated circuits) and the like, requires the formation of a large number of circuit elements in a given chip area according to a specified circuit layout, wherein field effect transistors (NFET and PFET transistors) represent one important type of circuit element used in manufacturing such integrated circuit devices. A field effect transistor, irrespective of whether an NFET transistor or a PFET transistor is considered, typically comprises doped source and drain regions that are formed in a semiconducting substrate that are separated by a channel region. A gate insulation layer is positioned above the channel region and a conductive gate electrode is positioned above the gate insulation layer. By applying an appropriate voltage to the gate electrode, the channel region becomes conductive and current is allowed to flow from the source region to the drain region.

For many early device technology generations, the gate electrode structures of most transistor elements have comprised a plurality of silicon-based materials, such as a silicon dioxide and/or silicon oxynitride gate insulation layer, in combination with a polysilicon gate electrode. However, as the channel length of aggressively scaled transistor elements has become increasingly smaller, many newer generation devices employ gate electrode stacks comprising alternative materials in an effort to avoid the short channel effects which may be associated with the use of traditional silicon-based materials in reduced channel length transistors. For example, in some aggressively scaled transistor elements, which may have channel lengths on the order of approximately 14-32 nm, gate electrode stacks comprising a so-called high-k dielectric/metal gate (HK/MG) configuration have been shown to provide significantly enhanced operational characteristics over the heretofore more commonly used silicon dioxide/polysilicon (SiO/poly) configurations. These metal gate electrode materials may include, for example, one or more layers of titanium (Ti), titanium nitride (TiN), titanium-aluminum (TiAl), aluminum (Al), aluminum nitride (AlN), tantalum (Ta), tantalum nitride (TaN), tantalum carbide (TaC), tantalum carbonitride (TaCN), tantalum silicon nitride (TaSiN), tantalum silicide (TaSi) and the like.

One well-known processing method that has been used for forming a transistor with a high-k/metal gate structure is the so-called “gate last” or “replacement gate” technique. FIGS. 1A-1D depict one illustrative prior art method for forming a HK/MG replacement gate structure using a gate last technique. As shown in FIG. 1A, the process includes the formation of a basic transistor structure 100 above a semiconducting substrate 10 in an active area defined by a shallow trench isolation structure 11. At the point of fabrication depicted in FIG. 1A, the device 100 includes a sacrificial gate insulation layer 12, a dummy or sacrificial gate electrode 14, sidewall spacers 16, a layer of insulating material 17 and source/drain regions 18 formed in the substrate 10. The various components and structures of the device 100 may be formed using a variety of different materials and by performing a variety of known techniques. For example, the sacrificial gate insulation layer 12 may be comprised of silicon dioxide, the sacrificial gate electrode 14 may be comprised of polysilicon, the sidewall spacers 16 may be comprised of silicon nitride and the layer of insulating material 17 may be comprised of silicon dioxide. The source/drain regions 18 may be comprised of implanted dopant materials (N-type dopants for NFET devices and P-type dopants for PFET devices) that are implanted into the substrate 10 using known masking and ion implantation techniques. Of course, those skilled in the art will recognize that there are other features of the transistor 100 that are not depicted in the drawings for purposes of clarity. For example, so-called halo implant regions are not depicted in the drawings, as well as various layers or regions of silicon/germanium that are typically found in high-performance PFET transistors. At the point of fabrication depicted in FIG. 1A, the various structures of the device 100 have been formed and a chemical mechanical polishing process (CMP) has been performed to remove any materials above the sacrificial gate electrode 14 (such as a protective cap layer (not shown) comprised of silicon nitride) so that the sacrificial gate electrode 14 may be removed.

As shown in FIG. 1B, one or more etching processes are performed to remove the sacrificial gate electrode 14 and the sacrificial gate insulation layer 12 to thereby define a gate opening or cavity 20 where a replacement gate structure will be subsequently formed. A masking layer that is typically used in such etching processes is not depicted for purposes of clarity. Typically, the sacrificial gate insulation layer 12 is removed as part of the replacement gate technique, as depicted herein. However, the sacrificial gate insulation layer 12 may not be removed in all applications.

Next, as shown in FIG. 1C, various layers of material that will constitute a replacement gate structure 30 are formed in the gate opening 20. However, although not depicted in the drawings, the generally square-edged gate opening may cause certain problems in forming such layers of material in the gate opening 20. For example, such a square-edged gate opening 20 may lead to the formation of voids in one or more of the layers of material that will be formed in the gate opening 20. In one illustrative example, the replacement gate structure 30 is comprised of a high-k gate insulation layer 30A having a thickness of approximately 2 nm, a work function adjusting layer 30B comprised of a metal (e.g., a layer of titanium nitride with a thickness of 2-5 nm) and a bulk metal layer 30C (e.g., aluminum). Ultimately, as shown in FIG. 1D, a CMP process is performed to remove excess portions of the gate insulation layer 30A, the work function adjusting layer 30B and the bulk metal layer 30C positioned outside of the gate opening 20 to define the replacement gate structure 30.

As device dimensions have decreased, the size of the gate cavity 20 has also been reduced. For example, in current-day advanced devices, the size (critical dimension) of the gate cavity 20 may only be about 20-30 nm, and further reductions in the size of the gate cavity 20 are anticipated as newer generations of devices are introduced. Thus, reliably filling such small-size gate cavities 20 with the various materials that are used to form the replacement gate structure 30 is becoming more challenging. Additionally, in some applications, plasma-based deposition processes, such as plasma-enhanced physical vapor deposition (PEPVD) or plasma-enhanced chemical vapor deposition (PECVD) processes are performed to form one or more of the layers of material that will become part of the replacement gate structure. However, the use of such plasma-based processes can have detrimental effects on other portions of a transistor device, such as the gate insulation layer, the substrate itself, etc., due to ion bombardment during such plasma-based processes. In some cases, such plasma-induced damage may cause the final transistor device to operate at reduced performance levels.

The present disclosure is directed to various, more efficient methods of forming replacement gate structures for semiconductor devices that may at least reduce or eliminate one or more of the problems identified above.

SUMMARY OF THE INVENTION

The following presents a simplified summary of the invention in order to provide a basic understanding of some aspects of the invention. This summary is not an exhaustive overview of the invention. It is not intended to identify key or critical elements of the invention or to delineate the scope of the invention. Its sole purpose is to present some concepts in a simplified form as a prelude to the more detailed description that is discussed later.

In general, the presently disclosed subject matter is directed to various replacement gate structures for semiconductor devices. One illustrative gate structure disclosed herein includes, among other things, a gate insulation layer and a layer of gate electrode material with a substantially horizontal portion having a first thickness and a substantially vertical portion having a second thickness that is less than the first thickness. Furthermore, the substantially horizontal portion of the layer of gate electrode material is positioned adjacent to a bottom of the replacement gate structure and above at least a portion of the gate insulation layer, and the substantially vertical portion is positioned adjacent to sidewalls of the replacement gate structure.

In another exemplary embodiment, a replacement metal gate structure of a transistor device is disclosed that includes a gate insulation layer that is made up of, among other things, a high-k dielectric material. Furthermore, the gate insulation layer has a substantially horizontal portion positioned above a channel region of the transistor device and a substantially vertical portion positioned along sidewalls of the replacement metal gate structure. The disclosed replacement metal gate structure further includes a first metal layer having a substantially horizontal portion positioned above the substantially horizontal portion of the gate insulation layer and a substantially vertical portion positioned adjacent to the substantially vertical portion of the gate insulation layer, wherein a thickness of the substantially vertical portion of the first metal layer is less than or equal to approximately one-half of a thickness of the substantially horizontal portion of the first metal layer. Moreover, the illustrative replacement metal gate structure also includes, among other things, a conductive metal gate electrode fill material positioned above the substantially horizontal portion of the first metal layer and adjacent to the substantially vertical portion of the first metal layer.

BRIEF DESCRIPTION OF THE DRAWINGS

The disclosure may be understood by reference to the following description taken in conjunction with the accompanying drawings, in which like reference numerals identify like elements, and in which:

FIGS. 1A-1D depict one illustrative prior art process flow for forming a semiconductor device using a gate last approach; and

FIGS. 2A-2G depict various illustrative examples of forming replacement gate structures using the illustrative methods disclosed herein.

While the subject matter disclosed herein is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail. It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.

DETAILED DESCRIPTION

Various illustrative embodiments of the invention are described below. In the interest of clarity, not all features of an actual implementation are described in this specification. It will of course be appreciated that in the development of any such actual embodiment, numerous implementation-specific decisions must be made to achieve the developers' specific goals, such as compliance with system-related and business-related constraints, which will vary from one implementation to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure.

The present subject matter will now be described with reference to the attached figures. Various structures, systems and devices are schematically depicted in the drawings for purposes of explanation only and so as to not obscure the present disclosure with details that are well known to those skilled in the art. Nevertheless, the attached drawings are included to describe and explain illustrative examples of the present disclosure. The words and phrases used herein should be understood and interpreted to have a meaning consistent with the understanding of those words and phrases by those skilled in the relevant art. No special definition of a term or phrase, i.e., a definition that is different from the ordinary and customary meaning as understood by those skilled in the art, is intended to be implied by consistent usage of the term or phrase herein. To the extent that a term or phrase is intended to have a special meaning, i.e., a meaning other than that understood by skilled artisans, such a special definition will be expressly set forth in the specification in a definitional manner that directly and unequivocally provides the special definition for the term or phrase.

The present disclosure is directed to various methods of forming replacement gate structures for semiconductor devices using a novel etching protocol. As will be readily apparent to those skilled in the art upon a complete reading of the present application, the various methods disclosed herein may be employed with a variety of technologies, e.g., NFET, PFET, CMOS, etc., and they may be readily employed in manufacturing a variety of integrated circuit devices, including, but not limited to, ASICs, logic devices, memory devices, etc. With reference to FIGS. 2A-2G, various illustrative embodiments of the various methods disclosed herein will now be described in more detail.

FIG. 2A is a simplified view of an illustrative transistor 200 at an early stage of manufacturing. The transistor 200 may be either an NFET transistor or a PFET transistor. The transistor 200 is formed in and above an active region defined by isolation structures (not shown) formed in a semiconducting substrate 210. The substrate 210 may have a variety of configurations, such as the depicted bulk silicon configuration. The substrate 210 may also have a silicon-on-insulator (SOI) configuration that includes a bulk silicon layer, a buried insulation layer and an active layer, wherein semiconductor devices are formed in and above the active layer. Thus, the terms substrate or semiconductor substrate should be understood to cover all forms of semiconductor structures. The substrate 210 may also be made of materials other than silicon.

FIG. 2A depicts the transistor 200 at a point of fabrication that roughly corresponds to the point of fabrication depicted for the illustrative prior art transistor 100 shown in FIG. 1B. That is, a gate cavity 220 has been formed by removing a sacrificial gate structure (not shown) which typically includes a sacrificial gate insulation layer and a dummy or sacrificial gate electrode. In this illustrative example, the gate cavity 220 is defined by sidewall spacers 216 that are positioned in a layer of insulating material 217. At this point in the fabrication process, source/drain regions (not shown) and halo-implant regions (not shown) would have already been formed in the substrate 210. The source/drain regions may be comprised of implanted dopant materials (N-type dopants for NFET devices and P-type dopants for PFET devices) that are implanted into the substrate 210 using known masking and ion implantation techniques. The various methods disclosed herein may be employed on transistors that have a variety of different materials and with any type of sacrificial gate structure. For example, the sacrificial gate insulation layer may be comprised of silicon dioxide, the sacrificial gate electrode may be comprised of polysilicon, the sidewall spacers 216 may be comprised of silicon nitride and the layer of insulating material 217 may be comprised of silicon dioxide. The various structures noted above have been omitted from the drawing for purposes of clarity and to facilitate disclosure of the present inventions.

After the gate cavity 220 is formed by removing the sacrificial gate structure, the various layers of material that will constitute a replacement gate structure 230 are formed in the gate cavity 220. As shown in FIG. 2A, in one illustrative example, the replacement gate structure 230 is comprised of an insulating layer 230A, such as a high-k gate insulation layer 230A having a thickness of approximately 2 nm. The illustrative high-k gate insulation layer 230A may be formed by performing, for example, a conformable chemical vapor deposition (CVD) process. The high-k gate insulation layer 230A may be comprised of a variety of materials having a k value greater than 10, e.g., tantalum oxide (Ta2O5), hafnium oxide (HfO2), zirconium oxide (ZrO2), titanium oxide (TiO2), aluminum oxide (Al2O3), hafnium silicates (HfSiOx) and the like.

Next, as shown in FIG. 2B, a metal layer 230B is formed in the gate cavity 220 above the high-k gate insulation layer 230A. In one illustrative embodiment, the metal layer 230B is a work function adjusting layer that may be comprised of a variety of different materials such as, for example, titanium (Ti), titanium nitride (TiN), titanium-aluminum (TiAl), aluminum (Al), aluminum nitride (AlN), tantalum (Ta), tantalum nitride (TaN), tantalum carbide (TaC), tantalum carbonitride (TaCN), tantalum silicon nitride (TaSiN), tantalum silicide (TaSi) and the like. In some applications, there may be more than one metal layer formed in the cavity 220 for a particular device. In that situation, the methods discussed more fully below may be applied to each metal layer if desired. The layer of metal 230B may be formed by a variety of techniques. In one illustrative example, the metal layer 230B may be formed by a thermal-based process, such as an atomic layer deposition (ALD) process or a thermal CVD process, etc. In one illustrative example, the metal layer 230B may be a layer of titanium nitride with an as-deposited thickness 231 of about 4-5 nm that is performed by performing an ALD process at a deposition temperature of about 100-400° C. In one illustrative embodiment, the as-deposited thickness 231 of the metal layer 230B may be substantially uniform, as depicted in FIG. 2B. As will be recognized by those skilled in the art after a complete reading of the present application, the insulating materials and the metal layer(s) that are part of the replacement gate structure 230 may be of any desired construction and comprised of any of a variety of different materials. Additionally, the replacement gate structure 230 for an NFET device may have different material combinations as compared to a replacement gate structure 230 for a PFET device. Thus, the particular details of construction of the replacement gate structure 230, and the manner in which such replacement gate structure 230 is formed, should not be considered a limitation of the present invention unless such limitations are expressly recited in the attached claims. The methods disclosed herein may also employ replacement gate structures 230 that do not employ a high-k gate insulation layer; although a high-k gate insulation layer will likely be used in most applications.

Next, as shown in FIG. 2C an etch mask material layer 240 is deposited on the device 200 and in the cavity 220 above the metal layer 230B. In general, the etch mask material layer 240 may be made of any material that may be selectively etched with respect to the metal layer 230B and one that may be deposited such that the etch mask material layer 240 has an as-deposited thickness profile wherein it has a greater thickness on substantially horizontal surfaces than it does on substantially vertical surfaces. More specifically, in one illustrative embodiment, the etch mask material layer 240 has an as-deposited thickness profile wherein its bottom thickness 240FT in the bottom of the cavity 220 above the substantially horizontal portion 230BH of the metal layer 230B is greater than its sidewall thickness 240ST above the substantially vertically oriented portions 230BV of the metal layer 230B positioned adjacent the sidewalls 221 of the gate cavity 220. In some embodiments, the thickness 240FT may be substantially uniform on all of the substantially horizontal surfaces, as depicted in FIG. 2C. In one illustrative example, the sidewall thickness 240ST of the etch mask material layer 240 may be approximately one-half the thickness 240FT of the etch mask material layer 240 above the bottom of the cavity 220. The etch mask material layer 240 may be comprised of materials such as, for example, silicon, silicon dioxide, silicon nitride, hafnium oxides, hafnium nitrides, any high-k oxides or any other dielectric materials which have acceptable wet etch selectivity against metal, etc., and it may be formed by performing a variety of techniques, e.g., a physical vapor deposition (PVD) process or a thermal-based process, such as a CVD or ALD process. In one illustrative embodiment, the as-deposited thickness 240FT of the etch mask material layer 240 may be about 3-5 nm. As used herein and in the claims, the reference to phrases such as “substantially vertically oriented portions,” “substantially horizontally oriented portions” or similar phrases to describe various portions or regions of a layer of material are not to be interpreted as implying any sort of geometric precision. Those skilled in the art having benefit of the present disclosure will readily understand that the fabrication of integrated circuit devices does not lend itself to the formation of geometrically precise layers of material.

Then, as shown in FIG. 2D, a wet etching process 235 is performed to remove the portions of the etch mask material layer 240 positioned above the substantially vertically oriented portions surfaces 230BV of the metal layer 230B. The wet etching process 235 results in the definition of a patterned etch mask 240E. As depicted in FIG. 2D, the remaining regions of the patterned etch mask 240E that are positioned on substantially horizontal surfaces, such as the substantially horizontal portion 230BH of the metal layer 230B, has a reduced, post-etch thickness 240FE. The post-etch thickness 240FE of the substantially horizontal portion 240EH of the patterned etch mask 240E positioned at the bottom of the cavity 220 is less than the as-deposited thickness 240FT of the etch mask material layer 240 (FIG. 2C). In one illustrative embodiment, the post-etch thickness 240FE may be about 1-3 nm. Importantly, the patterned etch mask 240E exposes the substantially vertically oriented portions 230BV of the metal layer 230B for further processing, while the substantially horizontal portion 240EH of the patterned etch mask 240E positioned at the bottom of the cavity 220 above the metal layer 230B remains in place to protect the substantially horizontal portion 230BH of the metal layer 230B during a subsequent etch process that will be described more fully below. The wet etching process 235 may be a timed etch process to insure that a sufficient amount of the material of the patterned etch mask 240E remains in the bottom of the cavity 220 above the metal layer 230B.

Next, as shown in FIG. 2E, a wet etching process 250 is performed through the patterned etch mask 240E to remove at least a portion of the original as-deposited sidewall thickness 231 of the substantially vertically oriented portions 230BV of the metal layer 230B. This results in at least portions of the substantially vertically oriented portions 230BV of the metal layer 230B having a post-etch thickness 231ST that is less than the original as-deposited sidewall thickness 231. In one illustrative embodiment, the post-etch thickness 231ST may be about one-half (or less) of the original as-deposited sidewall thickness 231. In terms of absolute numbers, the post-etch thickness 231ST may be about 1-2 nm depending upon the particular application. The wet etching process 250 results in the remaining substantially vertically oriented portions 230BV of the metal layer 230B having an etched surface 242E, while the substantially horizontal portion 230BH of the metal layer 230B still has its as-deposited surface 247, since it is protected during the etching process 250 by the substantially horizontal portion 240EH of the patterned etch mask 240E positioned at the bottom of the cavity 220. As noted previously, to the extent that the ultimate replacement gate electrode 230 for the device 200 includes multiple layers of metal, such as multiple layers of work function metals commonly found in PFET transistors, the methods disclosed herein as it relates to reducing the thickness of the vertically oriented portions 230BV of such a metal layer herein may be employed on each of the layers of metal, if desired.

With continuing reference to FIG. 2E, the wet etching process 250 also tends to round the upper corners of the metal layer 230B, as reflected by the arrow 243, and may produce some corner rounding at the bottom of the cavity 220, as reflected by the arrow 245. In addition to any corner rounding that may be present due to the characteristics of the deposition process that was performed to form the metal layer 230B, the additional corner rounding 243 resulting from performing the wet etching process 250 may be very helpful in subsequent processing operations that will be performed to fill the remaining portions of the gate cavity 220 with a conductive material. After the wet etching process 250 is performed, the remaining portions of the patterned etch mask 240E may be removed by performing another wet etching process similar to the etch process 235 described above.

Next, as shown in FIG. 2F, one or more process operations are performed to form a conductive material 230C that overfills the remaining portions of the cavity 220. The conductive material 230C may be comprised of a variety of different materials, e.g., aluminum, titanium, copper, etc., and it may be formed using a variety of known techniques. In one illustrative embodiment, the conductive material 230C is comprised of aluminum and it is formed by performing a PVD process.

Then, as shown in FIG. 2G, one or more chemical mechanical polishing (CMP) processes are performed to remove excess portions of the gate insulation layer 230A, the metal work function adjusting layer 230B and the conductive material 230C positioned outside of the gate cavity 220 to thereby define the replacement gate structure 230. At the point depicted in FIG. 2G, additional processing operations may be performed to complete the formation of the transistor 200, such as the formation of metal silicide regions (not shown) on the source/drain regions (not shown), the formation of conductive contacts (not shown) to the source/drain regions and the formation of multiple metallization layers (not shown) above the transistor 200 using known techniques. Of course, the total number of metallization layers may vary depending on the particular device under construction.

The particular embodiments disclosed above are illustrative only, as the invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. For example, the process steps set forth above may be performed in a different order. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention. Accordingly, the protection sought herein is as set forth in the claims below.

Claims

1.-22. (canceled)

23. A replacement gate structure, comprising:

a gate insulation layer; and
a layer of gate electrode material comprising a substantially horizontal portion having a first thickness and a substantially vertical portion having a second thickness that is less than said first thickness, wherein said substantially horizontal portion is positioned adjacent to a bottom of said replacement gate structure and above at least a portion of said gate insulation layer, and wherein said substantially vertical portion is positioned adjacent to sidewalls of said replacement gate structure.

24. The replacement gate structure of claim 23, wherein said second thickness is equal to or less than approximately one-half of said first thickness.

25. The replacement gate structure of claim 23, wherein said first thickness is approximately 4-5 nm and said second thickness is approximately 1-2 nm.

26. The replacement gate structure of claim 23, wherein said layer of gate electrode material comprises a first metal layer and a second metal layer formed on said first metal layer.

27. The replacement gate structure of claim 26, wherein a thickness of a substantially horizontal portion of said first metal layer is greater than a thickness of a substantially vertical portion of said first metal layer and a thickness of a substantially horizontal portion of said second metal layer is greater than a thickness of a substantially vertical portion of said second metal layer.

28. The replacement gate structure of claim 23, further comprising a conductive fill material positioned above said substantially horizontal portion of said layer of gate electrode material and adjacent to said substantially vertical portion of said layer of gate electrode material.

29. The replacement gate structure of claim 28, wherein said conductive fill material comprises at least one of copper, aluminum and titanium.

30. The replacement gate structure of claim 23, wherein said gate insulation layer comprises a substantially vertical portion that is positioned adjacent to said substantially vertical portion of said layer of gate electrode material.

31. The replacement gate structure of claim 23, wherein said gate insulation layer comprises a high-k dielectric material having a dielectric constant greater than approximately 10.

32. The replacement gate structure of claim 31, wherein said high-k dielectric material comprises at least one of tantalum oxide (Ta2O5), hafnium oxide (HfO2), zirconium oxide (ZrO2), titanium oxide (TiO2), aluminum oxide (Al2O3), and hafnium silicate (HfSiOx).

33. The replacement gate structure of claim 23, wherein said layer of gate electrode material comprises a work function adjusting metal layer.

34. The replacement gate structure of claim 33, wherein said work function adjusting metal layer comprises at least one of titanium (Ti), titanium-nitride (TiN), titanium-aluminum (TiAl), aluminum (Al), aluminum nitride (AlN), tantalum (Ta), tantalum nitride (TaN), tantalum carbide (TaC), tantalum carbonitride (TaCN), tantalum silicon nitride (TaSiN) and tantalum silicide (TaSi).

35. A replacement metal gate structure of a transistor device, the replacement metal gate structure comprising:

a gate insulation layer comprising a high-k dielectric material, said gate insulation layer comprising a substantially horizontal portion positioned above a channel region of said transistor device and a substantially vertical portion positioned along sidewalls of said replacement metal gate structure;
a first metal layer comprising a substantially horizontal portion positioned above said substantially horizontal portion of said gate insulation layer and a substantially vertical portion positioned adjacent to said substantially vertical portion of said gate insulation layer, wherein a thickness of said substantially vertical portion of said first metal layer is less than or equal to approximately one-half of a thickness of said substantially horizontal portion of said first metal layer; and
a conductive metal gate electrode fill material positioned above said substantially horizontal portion of said first metal layer and adjacent to said substantially vertical portion of said first metal layer.

36. The replacement metal gate structure of claim 35, wherein said first metal layer comprises a work function adjusting material layer.

37. The replacement metal gate structure of claim 35, wherein said thickness of said substantially vertical portion of said first metal layer is approximately 1-2 nm.

38. The replacement metal gate structure of claim 35, further comprising a second metal layer positioned on said first metal layer.

39. The replacement metal gate structure of claim 38, wherein said second metal layer comprises a substantially horizontal portion having a first layer thickness and a substantially vertical portion having a second layer thickness that is less than said first layer thickness.

40. The replacement metal gate structure of claim 39, wherein said second layer thickness is less than approximately one-half of said first layer thickness.

41. The replacement metal gate structure of claim 35, wherein said conductive metal gate electrode fill material comprises one of copper, titanium and aluminum.

Patent History
Publication number: 20130270656
Type: Application
Filed: Jan 18, 2013
Publication Date: Oct 17, 2013
Applicant: GLOBALFOUNDRIES INC. (Grand Cayman)
Inventors: Dina Triyoso (Dresden), Hao Zhang (Dresden)
Application Number: 13/744,601
Classifications
Current U.S. Class: Gate Insulator Includes Material (including Air Or Vacuum) Other Than Sio 2 (257/410)
International Classification: H01L 29/78 (20060101);