Methods and Systems for Site-Isolated Combinatorial Substrate Processing Using a Mask

- INTERMOLECULAR, INC.

Embodiments provided herein describe methods and systems for processing substrates. A substrate processing tool includes a housing having a sidewall and a lid. The housing defines a processing chamber. A substrate support is configured to support a substrate within the processing chamber. A plasma generation source is coupled to the housing and in fluid communication with the processing chamber through the lid of the housing. The plasma generation source is configured to provide a plasma activated species into the processing chamber. A mask is positioned within the processing chamber to at least partially shield the substrate from the plasma activated species. The mask includes a plurality of openings configured such that when the mask is in first and second positions, the plasma activated species passes through a respective first and second of the plurality of openings and causes first and second regions on the substrate to be processed.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description

The present invention relates to substrate processing. More particularly, this invention relates to methods and systems for site-isolated combinatorial substrate processing methods and systems using a mask.

BACKGROUND OF THE INVENTION

Combinatorial processing enables rapid evaluation of, for example, semiconductor, solar, and window panel processing operations. The systems supporting the combinatorial processing are flexible to accommodate the demands for running the different processes either in parallel, serial or some combination of the two.

Some exemplary processing operations include operations for adding (depositions) and removing layers (etch), defining features, preparing layers (e.g., cleans), doping, etc. Similar processing techniques apply to the manufacture of integrated circuit (IC) semiconductor devices, flat panel displays, optoelectronics devices, data storage devices, magneto electronic devices, magneto optic devices, packaged devices, and the like. As feature sizes continue to shrink, improvements, whether in materials, unit processes, or process sequences, are continually being sought for the deposition processes. However, semiconductor and solar companies conduct research and development (R&D) on full wafer processing through the use of split lots, as the conventional deposition systems are designed to support this processing scheme. This approach has resulted in ever escalating R&D costs and the inability to conduct extensive experimentation in a timely and cost effective manner. Combinatorial processing as applied to semiconductor, solar, or energy manufacturing operations enables multiple experiments to be performed at one time in a high throughput manner. Equipment for performing the combinatorial processing and characterization must support the efficiency offered through the combinatorial processing operations.

BRIEF DESCRIPTION OF THE DRAWINGS

To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The drawings are not to scale and the relative dimensions of various elements in the drawings are depicted schematically and not necessarily to scale.

The techniques of the present invention can readily be understood by considering the following detailed description in conjunction with the accompanying drawings, in which:

FIG. 1 illustrates a schematic diagram for implementing combinatorial processing and evaluation using primary, secondary, and tertiary screening.

FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site isolated processing and/or conventional processing in accordance with some embodiments of the present invention.

FIG. 3 is a simplified schematic diagram illustrating an integrated high productivity combinatorial (HPC) system in accordance with some embodiments of the present invention.

FIG. 4 is a simplified schematic diagram illustrating a processing chamber configured to perform combinatorial processing in accordance with some embodiments of the invention.

FIG. 5 is a plan view of a substrate within the processing chamber of FIG. 4.

FIG. 6 is a plan view of a mask within the processing chamber of FIG. 4.

FIGS. 7-10 are plan views of the substrate of FIG. 5 and the mask of FIG. 6 illustrating the alignment of the mask with the substrate in various rotational positions

FIG. 11 is a plan view of a first mask within the processing chamber of FIG. 4 according to some embodiments of the present invention;

FIG. 12 is a plan view of a second mask within the processing chamber of FIG. 4 according to some embodiments of present invention;

FIGS. 13-15 are plan views of the first mask of FIG. 11 and the second mask of FIG. 12 illustrating the alignment of the first and second masks in various positions during processing according to some embodiments of the present invention.

DETAILED DESCRIPTION

A detailed description of one or more embodiments is provided below along with accompanying figures. The detailed description is provided in connection with such embodiments, but is not limited to any particular example. The scope is limited only by the claims and numerous alternatives, modifications, and equivalents are encompassed. Numerous specific details are set forth in the following description in order to provide a thorough understanding. These details are provided for the purpose of example and the described techniques may be practiced according to the claims without some or all of these specific details. For the purpose of clarity, technical material that is known in the technical fields related to the embodiments has not been described in detail to avoid unnecessarily obscuring the description.

Embodiments described herein provide methods and systems for processing substrates. In some embodiments, a substrate processing tool includes a housing having a sidewall and a lid. The housing defines a processing chamber. A substrate support is configured to support a substrate within the processing chamber. A plasma generation source is coupled to the housing and in fluid communication with the processing chamber through the lid of the housing. The plasma generation source is configured to provide a plasma activated species into the processing chamber. A mask is positioned within the processing chamber to at least partially shield the substrate from the plasma activated species. The mask includes a plurality of openings configured such that when the mask is in first and second positions, the plasma activated species passes through a respective first and second of the plurality of openings and causes first and second regions on the substrate to be processed in a site-isolated manner.

As such, in accordance with some embodiments, combinatorial processing may be used to produce and evaluate different materials, chemicals, processes, and techniques related to thermochromic materials, as well as build structures or determine how thermochromic materials coat, fill or interact with existing structures in order to vary materials, unit processes and/or process sequences across multiple regions on the substrate(s). These variations may relate to specifications such as temperatures, exposure times, layer thicknesses, chemical compositions, humidity, etc. of the formulations and/or the substrates at various stages of the screening processes described herein. However, it should be noted that in some embodiments, the chemical composition remains the same, while other parameters are varied, and in other embodiments, the chemical composition is varied.

Generally, the invention provides substrate processing apparatuses and methods for delivering a plasma activated species to a substrate in a site-isolated manner (i.e., to only a selected region(s) on the substrate). The substrate processing apparatus includes a remote plasma generation source that delivers plasma into a processing chamber above the substrate. The plasma may interact with a gaseous film feedstock near the surface of the substrate to cause the proximate portion of the substrate to be processed.

Site isolation is achieved using one or more masks positioned between the inlet of the plasma generation source and the substrate such that the plasma only interacts with the gaseous film feedstock near the selected portion of the substrate. The mask(s) may be moveable coupled to the apparatus for vertical translation (or movement) and rotation.

Openings in the mask(s) may be sized and spaced according to the sizing and spacing of the isolated regions on the substrate. In some embodiments in which a single mask is used, the openings are sized and spaced such that only rotation of the mask is required to selectively process the isolated regions on the substrate.

In some embodiments, a second mask may be used in conjunction with the first mask in an overlapping manner to increase the number of isolated sites that may be selectively processed.

Although the embodiments described herein provide methods and apparatus related to deposition processing, it will be obvious to one skilled in the art that the present invention may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention.

In the drawings, like reference numerals appearing in different drawings represent similar or same components and perform similar or same functions, unless specifically noted otherwise in the description. Furthermore, as would be appreciated by those skilled in the art, according to common practice, the various features of the drawings discussed herein are not necessarily drawn to scale, and that dimensions of various features, structures, or characteristics of the drawings may be expanded or reduced to more clearly illustrate various implementations of the invention described herein. Semiconductor manufacturing typically includes a series of processing steps such as cleaning, surface preparation, deposition, patterning, etching, thermal annealing, and other related unit processing steps. The precise sequencing and integration of the unit processing steps enables the formation of functional devices meeting desired performance metrics such as efficiency, power production, and reliability.

As part of the discovery, optimization and qualification of each unit process, it is desirable to be able to i) test different materials, ii) test different processing conditions within each unit process module, iii) test different sequencing and integration of processing modules within an integrated processing tool, iv) test different sequencing of processing tools in executing different process sequence integration flows, and combinations thereof in the manufacture of devices such as integrated circuits. In particular, there is a need to be able to test i) more than one material, ii) more than one processing condition, iii) more than one sequence of processing conditions, iv) more than one process sequence integration flow, and combinations thereof, collectively known as “combinatorial process sequence integration”, on a single monolithic substrate without the need of consuming the equivalent number of monolithic substrates per material(s), processing condition(s), sequence(s) of processing conditions, sequence(s) of processes, and combinations thereof. This can greatly improve both the speed and reduce the costs associated with the discovery, implementation, optimization, and qualification of material(s), process(es), and process integration sequence(s) required for manufacturing.

Systems and methods for High Productivity Combinatorial (HPC) processing are described in U.S. Pat. No. 7,544,574 filed on Feb. 10, 2006, U.S. Pat. No. 7,824,935 filed on Jul. 2, 2008, U.S. Pat. No. 7,871,928 filed on May 4, 2009, U.S. Pat. No. 7,902,063 filed on Feb. 10, 2006, and U.S. Pat. No. 7,947,531 filed on Aug. 28, 2009 which are all herein incorporated by reference. Systems and methods for HPC processing are further described in U.S. patent application Ser. No. 11/352,077 filed on Feb. 10, 2006, claiming priority from Oct. 15, 2005, U.S. patent application Ser. No. 11/419,174 filed on May 18, 2006, claiming priority from Oct. 15, 2005, U.S. patent application Ser. No. 11/674,132 filed on Feb. 12, 2007, claiming priority from Oct. 15, 2005, and U.S. patent application Ser. No. 11/674,137 filed on Feb. 12, 2007, claiming priority from Oct. 15, 2005 which are all herein incorporated by reference.

HPC processing techniques have been successfully adapted to wet chemical processing such as etching and cleaning. HPC processing techniques have also been successfully adapted to deposition processes such as physical vapor deposition (PVD), atomic layer deposition (ALD), and chemical vapor deposition (CVD).

FIG. 1 illustrates a schematic diagram 100 for implementing combinatorial processing and evaluation using primary, secondary, and tertiary screening. The schematic diagram 100 illustrates that the relative number of combinatorial processes run with a group of substrates decreases as certain materials and/or processes are selected. Generally, combinatorial processing includes performing a large number of processes during a primary screen, selecting promising candidates from those processes, performing the selected processing during a secondary screen, selecting promising candidates from the secondary screen for a tertiary screen, and so on. In addition, feedback from later stages to earlier stages can be used to refine the success criteria and provide better screening results.

For example, thousands of materials are evaluated during a materials discovery stage 102. Materials discovery stage 102 is also known as a primary screening stage performed using primary screening techniques. Primary screening techniques may include dividing substrates into coupons and depositing materials using varied processes. The materials are then evaluated, and promising candidates are advanced to the secondary screen, or materials and process development stage, 104. Evaluation of the materials is performed using metrology tools such as electronic testers and imaging tools (i.e., microscopes).

The materials and process development stage 104 may evaluate hundreds of materials (i.e., a magnitude smaller than the primary stage) and may focus on the processes used to deposit or develop those materials. Promising materials and processes are again selected, and advanced to the tertiary screen or process integration stage 106, where tens of materials and/or processes and combinations are evaluated. The tertiary screen or process integration stage 106 may focus on integrating the selected processes and materials with other processes and materials.

The most promising materials and processes from the tertiary screen are advanced to device qualification 108. In device qualification, the materials and processes selected are evaluated for high volume manufacturing, which normally is conducted on full substrates within production tools, but need not be conducted in such a manner. The results are evaluated to determine the efficacy of the selected materials and processes. If successful, the use of the screened materials and processes can proceed to pilot manufacturing 110.

The schematic diagram 100 is an example of various techniques that may be used to evaluate and select materials and processes for the development of new materials and processes. The descriptions of primary, secondary, etc. screening and the various stages 102-110 are arbitrary and the stages may overlap, occur out of sequence, be described and be performed in many other ways.

This application benefits from High Productivity Combinatorial (HPC) techniques described in U.S. patent application Ser. No. 11/674,137 filed on Feb. 12, 2007, which is hereby incorporated for reference in its entirety. Portions of the '137 application have been reproduced below to enhance the understanding of the present invention. The embodiments described herein enable the application of combinatorial techniques to process sequence integration in order to arrive at a globally optimal sequence of semiconductor manufacturing operations by considering interaction effects between the unit manufacturing operations, the process conditions used to effect such unit manufacturing operations, hardware details used during the processing, as well as materials characteristics of components utilized within the unit manufacturing operations. Rather than only considering a series of local optimums, i.e., where the best conditions and materials for each manufacturing unit operation is considered in isolation, the embodiments described below consider interactions effects introduced due to the multitude of processing operations that are performed and the order in which such multitude of processing operations are performed when fabricating a device. A global optimum sequence order is therefore derived and as part of this derivation, the unit processes, unit process parameters and materials used in the unit process operations of the optimum sequence order are also considered.

The embodiments described further analyze a portion or sub-set of the overall process sequence used to manufacture, for example, a semiconductor device. Once the subset of the process sequence is identified for analysis, combinatorial process sequence integration testing is performed to optimize the materials, unit processes, hardware details, and process sequence used to build that portion of the device or structure. During the processing of some embodiments described herein, structures are formed on the processed substrate that are equivalent to the structures formed during actual production of the semiconductor device. For example, such structures may include, but would not be limited to, contact layers, buffer layers, absorber layers, or any other series of layers or unit processes that create an intermediate structure found on semiconductor devices. While the combinatorial processing varies certain materials, unit processes, hardware details, or process sequences, the composition or thickness of the layers or structures or the action of the unit process, such as cleaning, surface preparation, deposition, surface treatment, etc. is substantially uniform through each discrete region. Furthermore, while different materials or unit processes may be used for corresponding layers or steps in the formation of a structure in different regions of the substrate during the combinatorial processing, the application of each layer or use of a given unit process is substantially consistent or uniform throughout the different regions in which it is intentionally applied. Thus, the processing is uniform within a region (inter-region uniformity) and between regions (intra-region uniformity), as desired. It should be noted that the process can be varied between regions, for example, where a thickness of a layer is varied or a material may be varied between the regions, etc., as desired by the design of the experiment.

The result is a series of regions on the substrate that contain structures or unit process sequences that have been uniformly applied within that region and, as applicable, across different regions. This process uniformity allows comparison of the properties within and across the different regions such that the variations in test results are due to the varied parameter (e.g., materials, unit processes, unit process parameters, hardware details, or process sequences) and not the lack of process uniformity. In the embodiments described herein, the positions of the discrete regions on the substrate can be defined as needed, but are preferably systematized for ease of tooling and design of experimentation. In addition, the number, variants and location of structures within each region are designed to enable valid statistical analysis of the test results within each region and across regions to be performed.

FIG. 2 is a simplified schematic diagram illustrating a general methodology for combinatorial process sequence integration that includes site isolated processing and/or conventional processing in accordance with some embodiments of the invention. In some embodiments, the substrate is initially processed using conventional process N. In some exemplary embodiments, the substrate is then processed using site isolated process N+1. During site isolated processing, an HPC module may be used, such as the HPC module described in U.S. patent application Ser. No. 11/352,077 filed on Feb. 10, 2006. The substrate can then be processed using site isolated process N+2, and thereafter processed using conventional process N+3. Testing is performed and the results are evaluated. The testing can include physical, chemical, acoustic, magnetic, electrical, optical, etc. tests. From this evaluation, a particular process from the various site isolated processes (e.g. from steps N+1 and N+2) may be selected and fixed so that additional combinatorial process sequence integration may be performed using site isolated processing for either process N or N+3. For example, a next process sequence can include processing the substrate using site isolated process N, conventional processing for processes N+1, N+2, and N+3, with testing performed thereafter.

It should be appreciated that various other combinations of conventional and combinatorial processes can be included in the processing sequence with regard to FIG. 2. That is, the combinatorial process sequence integration can be applied to any desired segments and/or portions of an overall process flow. Characterization, including physical, chemical, acoustic, magnetic, electrical, optical, etc. testing, can be performed after each process operation, and/or series of process operations within the process flow as desired. The feedback provided by the testing is used to select certain materials, processes, process conditions, and process sequences and eliminate others. Furthermore, the above flows can be applied to entire monolithic substrates, or portions of monolithic substrates such as coupons.

Under combinatorial processing operations, the processing conditions at different regions can be controlled independently. Consequently, process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, deposition order of process materials, process sequence steps, hardware details, etc., can be varied from region to region on the substrate. Thus, for example, when exploring materials, a processing material delivered to a first and second region can be the same or different. If the processing material delivered to the first region is the same as the processing material delivered to the second region, this processing material can be offered to the first and second regions on the substrate at different concentrations. In addition, the material can be deposited under different processing parameters. Parameters which can be varied include, but are not limited to, process material amounts, reactant species, processing temperatures, processing times, processing pressures, processing flow rates, processing powers, processing reagent compositions, the rates at which the reactions are quenched, atmospheres in which the processes are conducted, an order in which materials are deposited, hardware details of the gas distribution assembly, etc. It should be appreciated that these process parameters are exemplary and not meant to be an exhaustive list as other process parameters commonly used in semiconductor manufacturing may be varied.

As mentioned above, within a region, the process conditions are substantially uniform, in contrast to gradient processing techniques which rely on the inherent non-uniformity of the material deposition. That is, the embodiments described herein locally perform the processing in a conventional manner, e.g., substantially consistent and substantially uniform, while globally over the substrate, the materials, processes, and process sequences may vary. Thus, the testing will find optimums without interference from process variation differences between processes that are meant to be the same. It should be appreciated that a region may be adjacent to another region in some embodiments or the regions may be isolated and, therefore, non-overlapping. When the regions are adjacent, there may be a slight overlap wherein the materials or precise process interactions are not known, however, a portion of the regions, normally at least 50% or more of the area, is uniform and all testing occurs within that region. Further, the potential overlap is only allowed with material of processes that will not adversely affect the result of the tests. Both types of regions are referred to herein as regions or discrete regions.

FIG. 3 is a simplified schematic diagram illustrating an integrated high productivity combinatorial (HPC) system in accordance with some embodiments of the invention. HPC system includes a frame 300 supporting a plurality of processing modules. It should be appreciated that the frame 300 may be a unitary frame in accordance with some embodiments. In some embodiments, the environment within the frame 300 is controlled. Load lock/factory interface 302 provides access into the plurality of modules of the HPC system. Robot 314 provides for the movement of substrates (and masks) between the modules and for the movement into and out of the load lock 302. Modules 304-312 may be any set of modules and preferably include one or more combinatorial modules. For example, module 304 may be an orientation/degassing module, module 306 may be a clean module, either plasma or non-plasma based, modules 308 and/or 310 may be combinatorial/conventional dual purpose modules. Module 312 may provide conventional clean or degas as necessary for the experiment design.

Any type of chamber or combination of chambers may be implemented and the description herein is merely illustrative of one possible combination and not meant to limit the potential chamber or processes that can be supported to combine combinatorial processing or combinatorial plus conventional processing of a substrate or wafer. In some embodiments, a centralized controller, i.e., computing device 316, may control the processes of the HPC system, including the power supplies and synchronization of the duty cycles described in more detail below. Further details of one possible HPC system are described in U.S. application Ser. Nos. 11/672,478 and 11/672,473. With HPC system, a plurality of methods may be employed to deposit material upon a substrate employing combinatorial processes.

FIG. 4 is a simplified schematic diagram illustrating a processing (e.g., deposition) chamber, or substrate processing tool, 400 configured to perform combinatorial processing in accordance with some embodiments of the invention. The processing chamber 400 is defined by a housing that includes a sidewall 405 and a lid 412 and includes a substrate support 404 which is configured to hold a substrate 406 disposed thereon. The substrate support 404 may be any known substrate support, including but not limited to a vacuum chuck, electrostatic chuck or other known mechanisms. The substrate support 404 is capable of both rotating around its own central axis 408 (referred to as “rotation” axis, which is congruent with a central axis of the substrate 406), and rotating around a second axis 410 (referred to as “revolution” axis). Other substrate supports, such as an XY table, can also be used for site-isolated processing. In addition, the substrate support 404 may move in a vertical direction, i.e., away from or towards lid 412. It should be appreciated that the rotation and movement in the vertical direction may be achieved through known drive mechanisms which include magnetic drives, linear drives, worm screws, lead screws, a differentially pumped rotary feed through drive, etc. A power source 424 provides power to plasma generation source 416. It should be appreciated that power source 424 may output a direct current (DC) power supply, a pulsed DC power supply, or a radio frequency (RF) power supply.

The substrate 406 may be a conventional round 200 mm, 300 mm substrate, or any other larger or smaller substrate/wafer size. In some embodiments, the substrate 406 may be a square, rectangular, or other shaped substrate. One skilled in the art will appreciate that the substrate 406 may be a blanket substrate, a coupon (e.g., partial wafer), or even a patterned substrate having predefined regions. In some embodiments, the substrate 406 may have regions defined through the processing described herein. The term “region” is used herein to refer to a localized area on a substrate which is, was, or is intended to be used for processing or formation of a selected material. The region can include one region and/or a series of regular or periodic regions predefined on the substrate. The region may have any convenient shape, e.g., circular, rectangular, elliptical, wedge-shaped, etc. In the semiconductor field a region may be, for example, a test structure, single die, multiple dies, portion of a die, other defined portion of substrate, or an undefined area of a substrate, e.g., blanket substrate which is defined through the processing.

The chamber 400 in FIG. 4 includes a lid 412, through which plasma generation source 416 extends. Fluid inlets 414 and 418 extend into chamber 400 through sidewalls (or a base) 405 of the chamber 400. Fluid inlet 414 is in fluid communication with fluid source 420, while fluid inlet 418 is in fluid communication with fluid source 422. Fluid inlets 414 and 418 may be in fluid communication with the same fluid source in some embodiments or different fluid sources in other embodiments. It should be appreciated that fluid inlets 414 and 418 may extend around a surface of the substrate 406 so that a perimeter of substrate 406 is encompassed by fluid inlets 414 and 418. In some embodiments, fluid inlets 414 and 418 are configured as ring portions surrounding substrate 406.

In some embodiments, fluid inlets 414 and 418 are movable to vertically translate along with the substrate support 404 so that each fluid inlet remains proximate to an edge of substrate 406. For example, the ring portions may be coupled to an appropriate drive such as a worm gear, linear drive, etc., so that the fluid inlets 414 and 418 track the movement of the substrate and substrate support. The plasma generation source 416 is operable to provide a plasma activated species proximate to a surface of substrate 406. The plasma activated species provided by plasma generation source 416 has a non-reactive outer portion 440 surrounding a reactive inner portion 442 in accordance with some embodiments. It should be further appreciated that plasma generation source 416 may be a commercially available inductively coupled radio frequency (RF) plasma generation source. It should be appreciated that a plasma activated species refers to the reactive atomic and molecular radicals converted from the precursor gas through interaction with the plasma. It should be further appreciated that the plasma also consists of electrons and ions.

The embodiments illustrated in FIG. 4 provide for independent control of the plasma and the feedstock of the film to be deposited. Thus, the plasma activated species are provided by the plasma generation source 416 located at the top of the chamber 400, while the film feedstock is delivered through the chamber base to a ring disposed around the wafer surface. Alternatively, the film feedstock may be delivered through the bottom of the chamber to distribution ring 415 above or proximate to the substrate surface. In some embodiments, the distribution ring 415 is coupled to the substrate support 404 so that the ring vertically translates with the substrate support. It should be appreciated that the feedstock interacts with the plasma proximate to a surface of substrate 406 so that site isolated processing may be performed on different regions of substrate 406. It should be further appreciated that the chamber 400 may be a vapor deposition chamber that includes chemical vapor deposition chambers and atomic layer deposition chambers.

In some embodiments, a plasma provided through the plasma generation source 416 includes a hydrogen, nitrogen, argon, oxygen, ammonia, nitrogen trifluoride, helium, or a combination thereof, based plasma referred to as a first precursor. The film feedstock provided by fluid inlets 414 and 418 may be any suitable feedstock for the desired deposition layer and may be referred to as a second precursor. Thus, for some embodiments described herein, the first precursor carries the plasma activated species and activates the second precursor proximate to the substrate surface at a specific site or region. In some embodiments, the film feedstock may be methane (CH4) so that an amorphous carbon layer is deposited on the region of the surface of substrate 406. With regard to an atomic layer deposition chamber (ALD), a pulsed exposure to oxygen radicals generated when oxygen gas is precursor 1, can be utilized to complete the oxidation of the metal source to avoid defects and vacancies in ALD films. In some embodiments, in order to generate a profile of nitrogen and oxygen in an ALD deposited TiN film, the ALD layer can be exposed to a N2/O2 plasma at regular intervals during film deposition.

Still referring to FIG. 4, in accordance with one aspect of the present invention, chamber 400 also includes a mask 426 positioned between the plasma generation source 416 and the substrate 406. As shown, the mask 426 is suspended within the chamber 400 by mask holder (or arm) 428, which is connected (or coupled) to the mask 426 on one side thereof. In some embodiments, the mask holder 428, and thus mask 426, is capable of being rotated about an axis (in line with holder 428) substantially parallel to the central axis of substrate 406 as well as being vertically translated (or moved) between the plasma generation source 416 and substrate 406. As will be described in greater detail below, due in part to the mask holder 428 being connected to a side of mask 426, the axis about which mask 426 may be rotated is not congruent to the central axis of the substrate 406 (i.e., the rotation axis is laterally offset from the central axis of substrate).

In other embodiments, the chamber 400 further includes a second mask 430 (shown in dashed lines in FIG. 4) which is similarly suspended within chamber 400 by a mask holder 432 which is on a side of the central axis of the substrate 406 opposite the mask holder 428. Mask holder 432 may be capable of similar translation and rotation as mask holder 428 (i.e., such that the rotation of mask 430 is about an axis substantially parallel and not congruent with the central axis of substrate 406). Additionally, it should be noted that the embodiment shown in FIG. 4 does not include a showerhead as is often used in substrate processing tools utilizing plasma generation sources (i.e., typically positioned between the plasma generation source and the substrate).

FIG. 5 illustrates substrate 406 in greater detail, according to some embodiments. The substrate 406 has four site-isolated regions 500, 502, 504, and 506 thereon. As shown, regions 500-506 are substantially circular in shape and are in a “quadrant” arrangement on the substrate 406. In some embodiments, substrate has a diameter of, for example, between 40 and 60 mm, and each of the regions 500-506 have a diameter or, for example, between 12 and 25 mm, such as approximately 18 mm.

FIG. 6 illustrates mask 426 in greater detail, according to some embodiments. The mask 426 is substantially circular in shape and has a diameter of, for example, between 75 and 125 mm. The mask 426 has three apertures (or holes) 600, 602, and 604 formed therethrough. In some embodiments, apertures 600-604 each have a diameter of, for example, between 14 and 27 mm, such as approximately 20 mm (i.e., which may be slightly larger than the diameter of regions 500-506 on substrate 406). As discussed above, mask 426 is connected to mask holder 428 on one side (or edge) thereof, as indicated in FIG. 6, and as described in greater detail below, it is about this connection point (i.e., an axis extending therethrough) that mask 426 is rotated during processing.

FIGS. 7, 8, 9, and 10 illustrate mask 426 in various rotational positions over substrate 406, in order to allow site-isolated processing of regions 500-506 using processing chamber 400.

In FIG. 7, mask 426 is in a first rotational position such that aperture 600 is positioned directly over region 504 on substrate 406. As is indicated in FIG. 7, the other regions 502-506 are not positioned under any of apertures 600-604, and are thus shielded by the mask 426. Thus, when mask 426 is in the rotational position shown in FIG. 7, only region 504 is processed (i.e., exposed to the plasma activated species generated by the plasma generation source as it flows through aperture 600).

FIG. 8 illustrates mask 426 after being rotated about mask holder 428 (e.g., in a clockwise direction as viewed in FIG. 8) into a second rotational position. As shown, aperture 600 is now positioned directly over region 500 while regions 502-506 are shielded by mask 426. As such, in this rotational position, only region 500 is processed.

FIG. 9 illustrates mask 426 after being rotated about mask holder 428 (e.g., further in a clockwise direction) into a third rotational position. As shown, aperture 604 is now positioned directly over region 506 while regions 500-504 are shielded by mask 426. As such, in this rotational position, only region 506 is processed.

FIG. 10 illustrates mask 426 after being rotated about mask holder (e.g., in a counterclockwise direction) into a fourth rotational position. In this rotational position, aperture 602 is positioned directly over region 502 while regions 500, 504, and 506 are shielded by mask 426. As such, in this rotational position, only region 502 is processed.

In this manner, regions 500-506 on substrate 406 may be processed in a site-isolated manner using a plasma activated species generated by plasma generation source 416 (FIG. 4). It should be noted that because of the layout of the apertures 600-604 on the mask 426, or more particularly, the layout of the apertures 600-604 relative to the layout of the regions 500-506 on the substrate, the regions 500-506 may be selectively processed in a site isolated manner by simply rotating the mask 426 in the manner described above. That is, no movement of the substrate 406 is needed, and the mask 426 needs only to be rotated to selectively the regions 500-506. Additionally, the mask 426 may be translated (e.g., moved vertically) to adjust the size of the area (or region) on the substrate 406 that is processed via the “flashlight effect,” thus allowing the use of different sizes of regions on the substrate 406.

FIG. 11 and FIG. 12 illustrate, respectively, a first mask 1100 (e.g., mask 426 in FIG. 4) and a second mask 1200 (e.g., second mask 430 in FIG. 4), which may be used in embodiments utilizing two masks.

As shown in FIG. 11, the first mask 1100 is substantially circular and includes a single aperture (or hole) 1102 extending therethrough. As shown in FIG. 11, aperture 1102 is not positioned at a central portion of the first mask 1100, but is slightly off center (i.e., above the center of the first mask 1100 as shown in FIG. 11). In some embodiments, aperture 1102 has a diameter (or width) of, for example, between 28 and 54 mm, such as approximately 40 mm.

Referring to FIG. 12, the second mask 1200 is also substantially circular in shape and includes nine apertures 1202-1218 in a grid-like arrangement. Each of apertures 1202-1218 has a diameter of, for example, between 14 and 27 mm, such as approximately 20 mm.

In some embodiments, the diameters of the first and second masks 1100 and 1200 may be substantially the same. In other embodiments, the first mask 1100 may be have a smaller diameter (or width) of the second mask 1200.

Although not shown in FIG. 12, it should be understood that, in some embodiments, the shape of the second mask 1200 and the arrangement of apertures 1202-1218 may correspond to the shape of a substrate (e.g., substrate 406 in FIG. 4), and site-isolated regions on the substrate, to be processed using the first mask 1100 and the second mask 1200. Further, in some embodiments, the diameter (or width) of the second mask 1200 may be the same as the diameter of the substrate being processed (e.g., 200 or 300 mm).

FIGS. 13, 14, and 15 illustrate first mask 1100 and the second mask 1200 is various relative positions over a substrate (e.g., substrate 406 in FIG. 4), in order to allow site-isolated processing of site-isolated regions on the substrate. As is evident in FIGS. 13, 14, and 15 (and shown similarly in FIG. 4), the second mask 1200 is positioned over the first mask 1100.

FIG. 13 illustrates the first mask 1100 and the second mask 1200 in first relative positions. As shown, in the first relative positions, the second mask 1200 is positioned over the first mask 1100 such that aperture 1210 on the second mask 1200 is centered directly over aperture 1102 of the first mask 1100. Thus, a site-isolated region on the substrate (not shown in FIG. 13) may be process through aperture 1210 on the second mask 1200 and aperture 1102 on the first mask 1100, while the remainder of the substrate is shielded. It should be noted that because aperture 1102 is not centered on the first mask 1100, the first mask 1100 is not perfectly aligned with the second mask 1200 (i.e., the lower edge of the first mask 1100 extends past the lower edge of the second mask 1200.

FIG. 14 illustrates the first mask 1100 and the second mask 1200 in second relative positions. The transition between first and second relative positions may be accomplished by, for example, rotation, revolution (e.g., rotation about axis 410 in FIG. 4), and/or lateral movement of the first mask 1100 and/or the second mask 1200. Additionally, in some embodiments, the substrate (and/or the substrate support 404 in FIG. 4) may be rotated (e.g., about axis 408 and/or axis 410 in FIG. 4) to facilitate positioning the masks over the different site-isolated regions on the substrate and/or to provide greater coverage of the substrate.

When the first and second masks 1100 and 1200 are in the second relative positions, aperture 1202 on the second mask 1200 is centered over aperture 1102 on the first mask 1100, thus allowing a second site-isolated region on the substrate to be processed, while the remainder of the substrate is shielded.

FIG. 15 illustrates the first mask 1100 and the second mask 1200 in third relative positions. When the first and second masks 1100 and 1200 are in the third relative positions, aperture 1208 on the second mask 1200 is centered over aperture 1102 on the first mask 1100, thus allowing a third site-isolated region on the substrate to be processed, while the remainder of the substrate is shielded.

Although not specifically shown, the first and second masks 1100 and 1200 (as well as the substrate) may be moved into additional relative positions (e.g., one for each of apertures 1202-1218) to allow further site-isolated processing to be performed on the substrate.

In other embodiments, utilizing either one or two masks (or more), the number and size of the apertures may be changed (e.g., between one aperture and nine apertures). Additionally, the positioning or arrangement of the apertures may be varied depending on the layout of the site-isolated regions on the particular substrate being processed.

Thus, through the embodiments described herein multiple regions of substrate 406 may be deposited under varying conditions with different materials. In addition, each of the deposited regions may have different processing parameters and/or materials associated therewith, as well as different regions sizes, e.g., diameters. It should be appreciated, that in some embodiments the power delivered to plasma generating source 416 may be modified between the different regions deposited. Accordingly, the embodiments enable additional combinatorial processing combinations in order to evaluate multiple processes, process parameters, and materials in an efficient manner.

Implementations of the invention may be described as including a particular feature, structure, or characteristic, but every aspect or implementation may not necessarily include the particular feature, structure, or characteristic. Further, when a particular feature, structure, or characteristic is described in connection with an aspect or implementation, it will be understood that such feature, structure, or characteristic may be included in connection with other implementations, whether or not explicitly described. Thus, various changes and modifications may be made to the provided description without departing from the scope or spirit of the invention. As such, the specification and drawings should be regarded as exemplary only, and the scope of the invention to be determined solely by the appended claims.

Thus, in some embodiments, a substrate processing tool is provided. The substrate processing tool includes a housing having a sidewall and a lid. The housing defines a processing chamber. A substrate support is coupled to the housing and configured to support a substrate within the processing chamber. A plasma generation source is coupled to the housing and in fluid communication with the processing chamber through the lid of the housing. The plasma generation source is configured to provide a plasma activated species into the processing chamber. A first mask is moveably coupled to the housing and positioned within the processing chamber to at least partially shield the substrate from the plasma activated species. The first mask includes a plurality of openings configured such that when the first mask is in a first position, the plasma activated species passes through a first of the plurality of openings and causes a first region on the substrate to be processed, and when the first mask is in a second position, the plasma activated species passes through a second of the plurality of openings and causes a second region on the substrate to be processed.

In some embodiments, a substrate processing tool is provided. The substrate processing tool includes a housing having a sidewall and a lid. The housing defines a processing chamber. A substrate support is coupled to the housing and configured to support a substrate within the processing chamber. A plasma generation source is coupled to the housing and in fluid communication with the processing chamber through the lid of the housing, the plasma generation source being configured to provide a plasma activated species into the processing chamber. A first mask is rotatably coupled to the housing and positioned within the processing chamber to at least partially shield the substrate from the plasma activated species. The first mask includes a plurality of openings configured such that when the first mask is rotated to a first position, a first of the plurality of openings is positioned directly between the plasma generation source and a first of the plurality of regions on the substrate such that the plasma activated species passes through the first of the plurality of openings and causes the first region on the substrate to be processed, and when the first mask is rotated to a second position, a second of the plurality of openings is positioned directly between the plasma generation source and a second of the plurality of regions on the substrate such that the plasma activated species passes through the second of the plurality of openings and causes the second region on the substrate to be processed.

In some embodiments, a method for processing a substrate is provided. A substrate having a plurality of regions thereon is provided. A plasma activated species is generated. The plasma activated species is caused to flow towards the substrate. A first mask is provided in a first position such that the first mask at least partially shields the substrate from the plasma activated species. When the first mask is in the first position, the plasma activated species passes through a first of the plurality of openings and causes a first region on the substrate to be processed. The first mask is moved into a second position such that the mask at least partially shields the substrate from the plasma activated species. When the first mask is in the second position, the plasma activated species passes through a second of the plurality of openings and causes a second region on the substrate to be processed.

Although the foregoing examples have been described in some detail for purposes of clarity of understanding, the invention is not limited to the details provided. There are many alternative ways of implementing the invention. The disclosed examples are illustrative and not restrictive.

Claims

1. A substrate processing tool comprising:

a housing comprising a sidewall and a lid, the housing defining a processing chamber;
a substrate support coupled to the housing and configured to support a substrate within the processing chamber;
a plasma generation source coupled to the housing and in fluid communication with the processing chamber through the lid of the housing, the plasma generation source being configured to provide a plasma activated species into the processing chamber; and
a first mask moveably coupled to the housing and positioned within the processing chamber to at least partially shield the substrate from the plasma activated species, the first mask comprising a plurality of openings configured such that when the first mask is in a first position, the plasma activated species passes through a first of the plurality of openings and causes a first region on the substrate to be processed, and when the first mask is in a second position, the plasma activated species passes through a second of the plurality of openings and causes a second region on the substrate to be processed.

2. The substrate processing tool of claim 1, wherein the first mask is rotatably coupled to the housing.

3. The substrate processing tool of claim 2, wherein the first mask is moveable between the substrate support and the lid of the housing.

4. The substrate processing tool of claim 1, further comprising a second mask moveable coupled to the housing and at least partially positioned between the first mask and the substrate support, the second mask comprising at least one opening.

5. The substrate processing tool of claim 4, wherein the plurality of openings on the first mask and the at least one opening on the second mask are configured such that when the first mask and the second mask are each in a first position, the plasma activated species passes though the first mask and the second mask and causes the first region on the substrate to be processed, and when the first mask and the second mask are each in a second position, the plasma activated species passes though the first mask and the second mask and causes the second region on the substrate to be processed.

6. The substrate processing tool of claim 1, wherein when the first mask is in the first position, the first of the plurality of openings is positioned directly above the first region on the substrate, and when the first mask is in a second position, the second of the plurality of openings is positioned directly above the second of the plurality of regions.

7. The substrate processing tool of claim 2, wherein the first mask is rotatable about an axis that is not congruent to a central axis of the substrate.

8. The substrate processing tool of claim 7, wherein the axis about which the first mask is rotatable is substantially parallel to the central axis of the substrate.

9. The substrate processing tool of claim 1, wherein the housing does not include a showerhead positioned between the plasma generation source and the substrate.

10. The substrate processing tool of claim 1, wherein the plasma activated species is one of hydrogen, nitrogen, argon, oxygen, ammonia, nitrogen trifluoride, helium, or a combination thereof.

11. A substrate processing tool comprising:

a housing comprising a sidewall and a lid, the housing defining a processing chamber;
a substrate support coupled to the housing and configured to support a substrate within the processing chamber;
a plasma generation source coupled to the housing and in fluid communication with the processing chamber through the lid of the housing, the plasma generation source being configured to provide a plasma activated species into the processing chamber; and
a first mask rotatably coupled to the housing and positioned within the processing chamber to at least partially shield the substrate from the plasma activated species, the first mask comprising a plurality of openings configured such that when the first mask is rotated to a first position, a first of the plurality of openings is positioned directly between the plasma generation source and a first of the plurality of regions on the substrate such that the plasma activated species passes through the first of the plurality of openings and causes the first region on the substrate to be processed, and when the first mask is rotated to a second position, a second of the plurality of openings is positioned directly between the plasma generation source and a second of the plurality of regions on the substrate such that the plasma activated species passes through the second of the plurality of openings and causes the second region on the substrate to be processed.

12. The substrate processing tool of claim 11, further comprising a second mask rotatably coupled to the housing and at least partially positioned between the first mask and the substrate support, the second mask comprising a plurality of openings.

13. The substrate processing tool of claim 12, wherein the plurality of openings on the first mask and the plurality of openings on the second mask are configured such that when the first mask and the second mask are each rotated to a first position, the plasma activated species passes though the first mask and the second mask and causes the first region on the substrate to be processed, and when the first mask and the second mask are each in a second position, the plasma activated species passes though the first mask and the second mask and causes the second region on the substrate to be processed.

14. The substrate processing tool of claim 11, wherein the first mask is rotatable about an axis that is substantially parallel to a central axis of the substrate.

15. The substrate processing tool of claim 14, wherein the first mask is rotatable about an axis that is not congruent with a central axis of the substrate.

16. A method for processing a substrate, the method comprising:

providing a substrate having a plurality of regions thereon;
generating a plasma activated species;
causing the plasma activated species to flow towards the substrate;
providing a first mask in a first position such that the first mask at least partially shields the substrate from the plasma activated species, wherein when the first mask is in the first position, the plasma activated species passes through a first of the plurality of openings and causes a first region on the substrate to be processed; and
moving the first mask into a second position such that the first mask at least partially shields the substrate from the plasma activated species, wherein when the first mask is in the second position, the plasma activated species passes through a second of the plurality of openings and causes a second region on the substrate to be processed.

17. The method of claim 16, wherein the substrate and the first mask are positioned within a processing chamber and the moving of the first mask into the second position comprises rotating the first mask within the processing chamber.

18. The method of claim 17, wherein the processing chamber is defined by a housing having a lid, and further comprising moving the first mask between the substrate support and the lid of the housing.

19. The method of claim 17, wherein the rotating of the first mask within the processing chamber comprises rotating the first mask about an axis that is not congruent with a central axis of the substrate.

20. The method of claim 19, wherein the axis about which the first mask is rotated is substantially parallel to the central axis of the substrate.

Patent History
Publication number: 20140183161
Type: Application
Filed: Dec 28, 2012
Publication Date: Jul 3, 2014
Applicant: INTERMOLECULAR, INC. (San Jose, CA)
Inventors: Sandip Niyogi (San Jose, CA), James Tsung (Milpitas, CA), J. Watanabe (San Jose, CA)
Application Number: 13/729,407
Classifications
Current U.S. Class: Mask Is Reusable (i.e., Stencil) (216/45); With Mechanical Mask, Shield Or Shutter For Shielding Workpiece (156/345.3)
International Classification: C23F 1/08 (20060101); C23F 1/00 (20060101);