DELICATE DRY CLEAN

- Applied Materials, Inc.

A method of selectively removing fluorocarbon layers from overlying low-k dielectric material is described. These protective plasma treatments (PPT) are delicate alternatives to traditional post-etch treatments (PET). The method includes sequential exposure to (1) a local plasma formed from a silicon-fluorine precursor followed by (2) an exposure to plasma effluents formed in a remote plasma from a fluorine-containing precursor. The remote plasma etch (2) has been found to be highly selective of the residual material following the local plasma silicon-fluorine exposure. The sequential process (1)-(2) avoids exposing the low-k dielectric material to oxygen which would undesirably increase its dielectric constant.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCES TO RELATED APPLICATIONS

This application claims the benefit of U.S. Prov. Pat. App. No. 61/823,995 filed May 16, 2013, and titled “DELICATE DRY CLEAN” by Zhu et al., which is hereby incorporated herein in its entirety by reference for all purposes.

STATEMENT AS TO RIGHTS TO INVENTIONS MADE UNDER FEDERALLY SPONSORED RESEARCH AND DEVELOPMENT

NOT APPLICABLE

REFERENCE TO A “SEQUENCE LISTING,” A TABLE, OR A COMPUTER PROGRAM LISTING APPENDIX SUBMITTED ON A COMPACT DISK

NOT APPLICABLE

BACKGROUND OF THE INVENTION

Integrated circuit fabrication methods have reached a point where many hundreds of millions of transistors are routinely formed on a single chip. Each new generation of fabrication techniques and equipment are allowing commercial scale fabrication of ever smaller and faster transistors, but also increase the difficulty to make even smaller, faster circuit elements. The shrinking dimensions of circuit elements, now well below the 50 nm threshold, has caused chip designers to look for new low-resistivity conductive materials and new low-dielectric constant (i.e., low-k) insulating materials to improve (or simply maintain) the electrical performance of the integrated circuit.

Parasitic capacitance becomes a significant impediment to transistor switching rate as the number of transistors per area is increased. Capacitance exists between all adjacent electrically isolated conductors within an integrated circuit and may limit the switching rate regardless of whether the conducting portions are at the “front end” or the “back end” of the manufacturing process flow.

Thus, there is a need for new techniques and materials to form low-k material between adjacent conductors. One class of materials used to provide low-k separation between conductors is oxidized organo-silane films, such as the Black Diamond films commercially available from Applied Materials, Inc. of Santa Clara, Calif. These films have lower dielectric constants (e.g., about 3.5 or less) than conventional spacer materials like silicon oxides and nitrides. Unfortunately, some new processes involve exposing low-k films to environments which can increase the effective dielectric constant and limit device performance.

Thus there is a need for new processes which maintain a lower effective dielectric constant following exposure of a low-k film to these environments.

BRIEF SUMMARY OF THE INVENTION

A method of selectively removing fluorocarbon layers from overlying low-k dielectric material is described. These protective plasma treatments (PPT) are delicate alternatives to traditional post-etch treatments (PET). The method includes sequential exposure to (1) a local plasma formed from a silicon-fluorine precursor followed by (2) an exposure to plasma effluents formed in a remote plasma from a fluorine-containing precursor. The remote plasma etch (2) has been found to be highly selective of the residual material following the local plasma silicon-fluorine exposure. The sequential process (1)-(2) avoids exposing the low-k dielectric material to oxygen which would undesirably increase its dielectric constant.

Embodiments of the invention include methods of removing a fluorocarbon layer from a low-k dielectric layer on a patterned substrate, the method comprising two sequential steps: (i) treating the patterned substrate with a local plasma formed from a silicon-and-fluorine-containing precursor, wherein the operation of treating the patterned substrate removes the fluorocarbon layer from patterned substrate and forms a fluorinated silicon oxide layer on the low-k dielectric layer and the local plasma is formed by applying a local plasma power, and (ii) flowing a fluorine-containing precursor into a remote plasma region fluidly coupled to a substrate processing region while forming a remote plasma in the remote plasma region to produce plasma effluents. The methods include forming the remote plasma in the remote plasma region to produce the plasma effluents comprises striking an RF plasma having an RF plasma power to the plasma region and etching the fluorinated silicon oxide layer by flowing the plasma effluents into the substrate processing region.

Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the disclosed embodiments. The features and advantages of the disclosed embodiments may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.

BRIEF DESCRIPTION OF THE DRAWINGS

A further understanding of the nature and advantages of the disclosed embodiments may be realized by reference to the remaining portions of the specification and the drawings.

FIG. 1 is a flow chart of a protective plasma treatment according to disclosed embodiments.

FIG. 2A-2C show cross-sectional views of a device at various stages during a protective plasma treatment according to disclosed embodiments.

FIG. 3A shows a schematic cross-sectional view of a substrate processing chamber according to disclosed embodiments.

FIG. 3B shows a schematic cross-sectional view of a portion of a substrate processing chamber according to disclosed embodiments.

FIG. 3C shows a bottom plan view of a showerhead according to disclosed embodiments.

FIG. 4 shows a top plan view of an exemplary substrate processing system according to disclosed embodiments.

In the appended figures, similar components and/or features may have the same reference label. Further, various components of the same type may be distinguished by following the reference label by a dash and a second label that distinguishes among the similar components. If only the first reference label is used in the specification, the description is applicable to any one of the similar components having the same first reference label irrespective of the second reference label.

DETAILED DESCRIPTION OF THE INVENTION

A method of selectively removing fluorocarbon layers from overlying low-k dielectric material is described. These protective plasma treatments (PPT) are delicate alternatives to traditional post-etch treatments (PET). The method includes sequential exposure to (1) a local plasma formed from a silicon-fluorine precursor followed by (2) an exposure to plasma effluents formed in a remote plasma from a fluorine-containing precursor. The remote plasma etch (2) has been found to be highly selective of the residual material following the local plasma silicon-fluorine exposure. The sequential process (1)-(2) avoids exposing the low-k dielectric material to oxygen which would undesirably increase its dielectric constant.

The inventors have found new ways to selectively remove dielectric etch remnants without harming underlying low-k and ultra low-k (ULK) dielectric material. A two-step sequence includes (i) a local plasma treatment step which transforms/replaces etch-remnant fluorocarbon material into/with fluorinated silicon oxide. A delicate remote fluorine-based etch has been determined to be highly selective of the transformed/replaced fluorinated silicon oxide layer. Thus, a subsequent (ii) etch step involves remote excitation of a fluorine-containing precursor and transferal of the plasma effluents into a substrate processing region housing the substrate. The plasma effluents react with the exposed fluorinated silicon oxide and preferentially remove the transformed/replaced layer from the patterned substrate without increasing the dielectric constant of the underlying low-k material in embodiments. Traditional post-etch treatments (PET) which have been used previously to remove fluorocarbons have relied on “ashing” (i.e. exposure to oxygen) which raises the surface dielectric constant of the underlying low-k dielectric.

In order to better understand and appreciate the invention, reference is now made to FIG. 1 which is a flow chart of an exemplary protective plasma treatment according to disclosed embodiments. Reference will concurrently be made to FIGS. 2A-2C which shows cross-sectional views of an exemplary device at various stages during protective plasma treatments. Prior to the first operation, a structure is formed in a patterned substrate. The structure includes a titanium nitride mask (TiN mask) 220 over an ultra-low-k (ULK) dielectric 210. The ULK dielectric 210 is etched in regions which are left uncovered by TiN mask 220. The etch process leaves fluorocarbon 230 residue behind prior to the start of the removal processes described herein. The structure formed possesses an exposed roughly conformal layer of leftover fluorocarbon 230 on TiN mask 220 and ULK dielectric 210. The etch process described removes fluorocarbon 230 without damaging (raising the dielectric constant of) ULK dielectric 210.

The patterned substrate is then delivered into a substrate processing region. Silicon tetrafluoride is then flowed into the substrate processing region housing the patterned substrate (operation 110). The silicon tetrafluoride is excited in a local capacitively-coupled plasma within the substrate processing region and the patterned substrate is treated with the local plasma. A DC voltage may be applied in addition to a radio-frequency AC primary excitation to form the local plasma. The substrate processing region may generally include a silicon-and-fluorine-containing precursor as well as other inert species, such as argon and helium, which may prove beneficial to stability, uniformity and strikability of the plasma. The substrate processing region may be devoid of reactive species containing nitrogen or oxygen, in disclosed embodiments, in order to suppress a detrimental potential rise in dielectric constant of ULK dielectric 210 during the treatment step (operation 110). The inventors have determined that oxygen from the ULK is sufficient to supply the oxygen to the fluorinated silicon oxide in disclosed embodiments. The treatment step using silicon tetrafluoride is the first step in a two step sequence (the second step includes operations 120-140 described below). The treatment operation 110 alters or replaces fluorocarbon layer 230 while forming a fluorinated silicon oxide layer 232 in place of fluorocarbon layer 230. The inventors have found that fluorinated silicon oxide layer 232 is much easier to delicately remove than fluorocarbon layer 230.

A flow of nitrogen trifluoride is then introduced into a plasma region separate from the substrate processing region (operation 120) where the nitrogen trifluoride is excited in a remote plasma struck within the separate plasma region. The separate plasma region may be referred to as a remote plasma region herein and may be within a distinct module from the processing chamber or a compartment within the processing chamber separated from the substrate processing region by a permeable barrier. In general, a fluorine-containing precursor may be flowed into the remote plasma region and the fluorine-containing precursor comprises at least one precursor selected from the group consisting of atomic fluorine, diatomic fluorine, bromine trifluoride, chlorine trifluoride, nitrogen trifluoride, hydrogen fluoride, fluorinated hydrocarbons, sulfur hexafluoride, carbon tetrafluoride and xenon difluoride. In embodiments which do not form solid residue, broad substrate temperature ranges are possible. The temperature of the substrate during operations 120-130 may be between 0° C. and 200° C. or between 10° C. and 150° C. in disclosed embodiments.

The plasma effluents formed in the remote plasma region are then flowed into the substrate processing region (operation 130) and the patterned substrate is selectively etched (operation 140). The plasma effluents may enter the substrate processing region through through-holes in a showerhead which separates the remote plasma region from the substrate processing region. Fluorinated silicon oxide layer 232 is removed during operation 140 of the etch step. The etch step ideally is stopped once the etch step removes fluorinated silicon oxide layer 232. However, a slight over-etch is canonical as a result of nonuniformities and other real-world considerations. The underlying ULK dielectric 210 is exposed to the plasma effluents for a finite period of time predominantly during the over-etch. The etch chemistries disclosed herein have been carefully selected to avoid compromising ULK dielectric 210. A compromised ULK dielectric 210 would raise the near surface dielectric constant and therefore would throttle back peak clock frequencies. The reactive chemical species are removed from the substrate processing region and then the substrate is removed from the processing region.

The remote plasma region may have hydrogen-containing precursors present (flowed into the remote plasma region concurrently) or may be devoid of hydrogen during the etching step of the compound etch (operations 120-140) in disclosed embodiments. A presence of hydrogen (e.g. in the form of ammonia) during the etching step may change the mechanism involved in the etch as discussed in the exemplary equipment section. Regardless of whether hydrogen is present, the temperature of the substrate may be between about −20° C. and about 200° C. during both the treatment step and the etching step. In embodiments of the invention, a hydrogen-containing precursor is provided along with the fluorine-containing precursor into the remote plasma region and the temperature is relatively low (e.g. below 90° C.). Under such circumstances, solid residue may form on fluorinated silicon oxide layer 230 possibly according to hypothetical chemical mechanisms described in the exemplary equipment section. Any solid residue etch by-products which remain on the surface may then be removed by sublimation. The temperature of the patterned substrate throughout the sequence of operations 120-130 may be below one of 90° C., 80° C., 70° C., 60° C., 50° C., 40° C. or 35° C., in disclosed embodiments. The substrate may then be heated after the etch step in order to sublimate any surface-resident solid by-products by raising the temperature of the patterned substrate above one of 90° C., 100° C., 120° C. or 140° C., in disclosed embodiments. The duration of the sublimation may be above one of 45 seconds, 60 seconds, 75 seconds, 90 seconds or 120 seconds, in disclosed embodiments. Sublimation may be carried out in the same substrate processing region used for the etching operation, in which case the patterned substrate is finally removed from the substrate processing region after following sublimation.

Generally speaking, the silicon tetrafluoride used in the exemplary process of FIG. 1 may be any silicon-and-fluorine-containing precursor, such as Si2F6, SiH2F2 and the like. The silicon-and-fluorine-containing precursor may be a partially fluorinated silane, disilane, higher order silane or may be a fully fluorinated silane, disilane, higher order silane, in embodiments of the invention. The silicon-and-fluorine-containing precursor may be accompanied by one or more of neon, helium, argon and xenon. The local plasma may consist only of the silicon-and-fluorine-containing precursor and inert gases.

The method includes applying energy in the form of a plasma to the silicon-and-fluorine-containing precursor (e.g. silicon tetrafluoride) while in the substrate processing region to generate the plasma effluents used to treat the substrate (operation 110). The plasma may be generated using known techniques (e.g., radio frequency excitations, capacitively-coupled power, inductively coupled power, and the like). In an embodiment, the energy is applied using a capacitively-coupled plasma unit. The local plasma power may be between about 10 watts and about 500 watts, between about 20 watts and about 400 watts, between about 30 watts and about 300 watts, or between about 50 watts and about 200 watts in embodiments of the invention.

A DC accelerating voltage may and may not be applied, in embodiments, to assist the local plasma treatment of the patterned substrate in operation 110. When used, the local plasma may be formed by applying a DC bias power such that the local plasma power comprises both an AC portion and a DC portion. The DC bias power supplies a DC accelerating voltage which may be greater than 200 volts, greater than 300 volts, greater than 400 volts, greater than 500 volts, greater than 600 volts, or greater than 700 volts in embodiments of the invention. In order to more fully preserve the integrity of ULK dielectric 210, the DC voltage may be less than 2000 volts, less than 1500 volts, less than 1300 volts or less than 1100 volts. The pressure in the substrate processing region may be between about 0.5 mTorr and about 50 mTorr, between about 2 mTorr and about 200 mTorr or between about 5 mTorr and about 100 mTorr in disclosed embodiments.

The method also includes applying energy to the fluorine-containing precursor while in the remote plasma region to generate the plasma effluents (operation 120). As would be appreciated by one of ordinary skill in the art, the plasma may include a number of charged and neutral species including radicals and ions. The plasma may be generated using known techniques (e.g., radio frequency excitations, capacitively-coupled power, inductively coupled power, and the like). In an embodiment, the energy is applied using a capacitively-coupled plasma unit. The remote plasma source power may be between about 300 watts and about 5000 watts, between about 500 watts and about 3000 watts, between about 750 watts and about 2000 watts, or between about 900 watts and about 1500 watts in embodiments of the invention. The etch rate has been observed by the inventors to generally increase as RF power is raised from about 300 watts to about 1000 watts, after which the etch rate plateaus. Operating at about 1000 watts or above produces a process which is substantially insensitive to fluctuations in plasma power. Exceeding 1000 watts significantly (e.g. above 1500 watts) does not harm the process, but sacrifices energy efficiency. As with all complementary ranges provided herein, upper limits may be combined with any suitable lower limits to obtain additional disclosed embodiments. The pressure in the remote plasma region may be such that the pressure in the substrate processing region ends up between about 0.01 Torr and about 50 Torr or between about 0.1 Torr and about 5 Torr in disclosed embodiments.

In some circumstances, a fluorine-containing precursor is combined with a hydrogen-containing precursor in the remote plasma region when the plasma is formed. For relatively low substrate temperatures, the reaction results in the formation of solid residue by-product which is sublimated away following the etching step. Lower plasma powers may be used for this reaction since the plasma effluents are more stable. The RF power applied to form the remote plasma may be between about 1 watt and about 5000 watts, between about 1 watt and about 1000 watts, between about 5 watts and about 600 watts, between about 10 watts and about 300 watts or between about 20 watts and about 100 watts in disclosed embodiments.

Especially when a fluorine-containing precursor is used without a hydrogen-containing precursor, an ion suppressor may be used to filter ions from the plasma effluents during passage from the remote plasma region to the substrate processing region. It should be noted that complete elimination of ionically charged species in the reaction region surrounding the substrate is not always the desired goal. In many instances, ionic species are required to reach the substrate in order to perform the etch and/or deposition process. In these instances, the ion suppressor helps control the concentration of ionic species in the reaction region. However, in disclosed embodiments, essentially no ionic species penetrate to the substrate surface. Using the plasma effluents, a high etch rate selectivity of silicon relative to silicon oxide, silicon nitride and many alternative materials may be achieved.

The RF frequency applied for either the local or remote plasmas described herein may be low RF frequencies less than about 200 kHz, high RF frequencies between about 10 MHz and about 15 MHz, or microwave frequencies greater than or about 1 GHz in disclosed embodiments.

The two-step etches presented herein are used to clean a patterned substrate after a pattern transfer step. The disclosed two-step etch techniques have been developed for use with narrow trenches and gaps, which are uniquely benefited by maintaining low-k on ULK dielectric separators used in miniaturized integrated circuits. Trench or gap widths may be less than or about 70 nm, less than or about 60 nm, less than or about 50 nm, less than or about 40 nm, less than or about 30 nm, less than or about 25 nm, less than or about 20 nm or less than or about 15 nm in embodiments of the invention.

The flow of either the silicon-and-fluorine-containing precursor and, separately, the fluorine-containing precursor may further include one or more relatively inert gases such as He, N2, Ar. The inert gas can be used to improve plasma stability, process uniformity and the like. Argon is helpful, as an additive, to promote the formation of a (stable) plasma. Process uniformity is generally increased when helium is included. These additives are present in embodiments throughout this specification.

In disclosed embodiments, the fluorine-containing gas (e.g. NF3) is supplied at a flow rate of between about 5 sccm (standard cubic centimeters per minute) and 400 sccm, He at a flow rate of between about 0 slm (standard liters per minute) and 3 slm, and N2 at a flow rate of between about 0 slm and 3 slm. One of ordinary skill in the art would recognize that other gases and/or flows may be used depending on a number of factors including processing chamber configuration, substrate size, geometry and layout of features being etched, and the like.

Additional process parameters are disclosed in the course of describing an exemplary processing chamber and system.

Exemplary Processing System

FIG. 3A shows a cross-sectional view of an exemplary substrate processing chamber 1001 with partitioned plasma generation regions within the processing chamber. During film etching, e.g., titanium nitride, tantalum nitride, tungsten, silicon, polysilicon, silicon oxide, silicon nitride, silicon oxynitride, silicon oxycarbide, etc., a process gas may be flowed into chamber plasma region 1015 through a gas inlet assembly 1005. A remote plasma system (RPS) 1002 may optionally be included in the system, and may process a first gas which then travels through gas inlet assembly 1005. The inlet assembly 1005 may include two or more distinct gas supply channels where the second channel (not shown) may bypass the RPS 1002, if included. Accordingly, in disclosed embodiments the precursor gases may be delivered to the processing chamber in an unexcited state. In another example, the first channel provided through the RPS may be used for the process gas and the second channel bypassing the RPS may be used for a treatment gas in disclosed embodiments. The process gas may be excited within the RPS 1002 prior to entering the chamber plasma region 1015. Accordingly, the fluorine-containing precursor as discussed above, for example, may pass through RPS 1002 or bypass the RPS unit in disclosed embodiments. Various other examples encompassed by this arrangement will be similarly understood.

A cooling plate 1003, faceplate 1017, ion suppressor 1023, showerhead 1025, and a substrate support 1065 (also known as a pedestal), having a substrate 1055 disposed thereon, are shown and may each be included according to disclosed embodiments. The pedestal 1065 may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration may allow the substrate 1055 temperature to be cooled or heated to maintain relatively low temperatures, such as between about −20° C. to about 200° C., or therebetween. The heat exchange fluid may comprise ethylene glycol and/or water. The wafer support platter of the pedestal 1065, which may comprise aluminum, ceramic, or a combination thereof, may also be resistively heated in order to achieve relatively high temperatures, such as from up to or about 100° C. to above or about 1100° C., using an embedded resistive heater element. The heating element may be formed within the pedestal as one or more loops, and an outer portion of the heater element may run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The wiring to the heater element may pass through the stem of the pedestal 1065, which may be further configured to rotate.

The faceplate 1017 may be pyramidal, conical, or of another similar structure with a narrow top portion expanding to a wide bottom portion. The faceplate 1017 may additionally be flat as shown and include a plurality of through-channels used to distribute process gases. Plasma generating gases and/or plasma excited species, depending on use of the RPS 1002, may pass through a plurality of holes, shown in FIG. 3B, in faceplate 1017 for a more uniform delivery into the chamber plasma region 1015.

Exemplary configurations may include having the gas inlet assembly 1005 open into a gas supply region 1058 partitioned from the chamber plasma region 1015 by faceplate 1017 so that the gases/species flow through the holes in the faceplate 1017 into the chamber plasma region 1015. Structural and operational features may be selected to prevent significant backflow of plasma from the chamber plasma region 1015 back into the supply region 1058, gas inlet assembly 1005, and fluid supply system 1010. The structural features may include the selection of dimensions and cross-sectional geometries of the apertures in faceplate 1017 to deactivate back-streaming plasma. The operational features may include maintaining a pressure difference between the gas supply region 1058 and chamber plasma region 1015 that maintains a unidirectional flow of plasma through the showerhead 1025. The faceplate 1017, or a conductive top portion of the chamber, and showerhead 1025 are shown with an insulating ring 1020 located between the features, which allows an AC potential to be applied to the faceplate 1017 relative to showerhead 1025 and/or ion suppressor 1023. The insulating ring 1020 may be positioned between the faceplate 1017 and the showerhead 1025 and/or ion suppressor 1023 enabling a capacitively coupled plasma (CCP) to be formed in the first plasma region. A baffle (not shown) may additionally be located in the chamber plasma region 1015, or otherwise coupled with gas inlet assembly 1005, to affect the flow of fluid into the region through gas inlet assembly 1005.

The ion suppressor 1023 may comprise a plate or other geometry that defines a plurality of apertures throughout the structure that are configured to suppress the migration of ionically-charged species out of chamber plasma region 1015 while allowing uncharged neutral or radical species to pass through the ion suppressor 1023 into an activated gas delivery region between the suppressor and the showerhead. In disclosed embodiments, the ion suppressor 1023 may comprise a perforated plate with a variety of aperture configurations. These uncharged species may include highly reactive species that are transported with less reactive carrier gas through the apertures. As noted above, the migration of ionic species through the holes may be reduced, and in some instances completely suppressed. Controlling the amount of ionic species passing through the ion suppressor 1023 may provide increased control over the gas mixture brought into contact with the underlying wafer substrate, which in turn may increase control of the deposition and/or etch characteristics of the gas mixture. For example, adjustments in the ion concentration of the gas mixture can significantly alter its etch selectivity, e.g., SiOFx:SiOCH etch ratios, etc.

The plurality of holes in the ion suppressor 1023 may be configured to control the passage of the activated gas, i.e., the ionic, radical, and/or neutral species, through the ion suppressor 1023. For example, the aspect ratio of the holes, or the hole diameter to length, and/or the geometry of the holes may be controlled so that the flow of ionically-charged species in the activated gas passing through the ion suppressor 1023 is reduced. The holes in the ion suppressor 1023 may include a tapered portion that faces chamber plasma region 1015, and a cylindrical portion that faces the showerhead 1025. The cylindrical portion may be shaped and dimensioned to control the flow of ionic species passing to the showerhead 1025. An adjustable electrical bias may also be applied to the ion suppressor 1023 as an additional means to control the flow of ionic species through the suppressor.

The ion suppression element 1023 may function to reduce or eliminate the amount of ionically charged species traveling from the plasma generation region to the substrate. Uncharged neutral and radical species may still pass through the openings in the ion suppressor to react with the substrate. It should be noted that the complete elimination of ionically charged species in the reaction region surrounding the substrate is not always the desired goal. In many instances, ionic species are required to reach the substrate in order to perform the etch and/or deposition process. In these instances, the ion suppressor may help to control the concentration of ionic species in the reaction region at a level that assists the process.

Showerhead 1025 in combination with ion suppressor 1023 may allow a plasma present in chamber plasma region 1015 to avoid directly exciting gases in substrate processing region 1033, while still allowing excited species to travel from chamber plasma region 1015 into substrate processing region 1033. In this way, the chamber may be configured to prevent the plasma from contacting a substrate 1055 being etched. This may advantageously protect a variety of intricate structures and films patterned on the substrate, which may be damaged, dislocated, or otherwise warped if directly contacted by a generated plasma. Additionally, when plasma is allowed to contact the substrate or approach the substrate level, the rate at which silicon oxide or silicon nitride etch may increase.

The processing system may further include a power supply 1040 electrically coupled with the processing chamber to provide electric power to the faceplate 1017, ion suppressor 1023, showerhead 1025, and/or pedestal 1065 to generate a plasma in the chamber plasma region 1015 or processing region 1033. The power supply may be configured to deliver an adjustable amount of power to the chamber depending on the process performed. Such a configuration may allow for a tunable plasma to be used in the processes being performed. Unlike a remote plasma unit, which is often presented with on or off functionality, a tunable plasma may be configured to deliver a specific amount of power to chamber plasma region 1015. This in turn may allow development of particular plasma characteristics such that precursors may be dissociated in specific ways to enhance the etching profiles produced by these precursors.

A plasma may be ignited either in chamber plasma region 1015 above showerhead 1025 or substrate processing region 1033 below showerhead 1025. A plasma may be present in chamber plasma region 1015 to produce the radical-fluorine precursors from an inflow of the fluorine-containing precursor. An AC voltage typically in the radio frequency (RF) range may be applied between the conductive top portion of the processing chamber, such as faceplate 1017, and showerhead 1025 and/or ion suppressor 1023 to ignite a plasma in chamber plasma region 1015 during deposition. An RF power supply may generate a high RF frequency of 13.56 MHz but may also generate other frequencies alone or in combination with the 13.56 MHz frequency.

Plasma power can be of a variety of frequencies or a combination of multiple frequencies. In the exemplary processing system the plasma may be provided by RF power delivered to faceplate 1017 relative to ion suppressor 1023 and/or showerhead 1025. The RF power may be between about 10 watts and about 5000 watts, between about 100 watts and about 2000 watts, between about 200 watts and about 1500 watts, or between about 200 watts and about 1000 watts in disclosed embodiments. The RF frequency applied in the exemplary processing system may be low RF frequencies less than about 200 kHz, high RF frequencies between about 10 MHz and about 15 MHz, or microwave frequencies greater than or about 1 GHz in disclosed embodiments. The plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the remote plasma region.

Chamber plasma region 1015 (top plasma in figure) may be left at low or no power when a bottom plasma in the substrate processing region 1033 is turned on to, for example, cure a film or clean the interior surfaces bordering substrate processing region 1033. A plasma in substrate processing region 1033 may be ignited by applying an AC voltage between showerhead 1025 and the pedestal 1065 or bottom of the chamber. A treatment gas (such as argon) may be introduced into substrate processing region 1033 while the plasma is present to facilitate treatment of the patterned substrate. The showerhead 1025 may also be biased at a positive DC voltage relative to the pedestal 1065 or bottom of the chamber in order to accelerate positively charged ions toward patterned substrate 1055. In disclosed embodiments, the local plasma in substrate processing region 1033 may be struck by applying AC power via an inductively-coupled source while applying DC power by capacitively coupled means. As indicated previously, the local plasma power may be between about 10 watts and about 500 watts, between about 20 watts and about 400 watts, between about 30 watts and about 300 watts, or between about 50 watts and about 200 watts in embodiments of the invention.

A fluid, such as a precursor, for example a fluorine-containing precursor, may be flowed into the processing region 1033 by embodiments of the showerhead described herein. Excited species derived from the process gas in chamber plasma region 1015 may travel through apertures in the ion suppressor 1023, and/or showerhead 1025 and react with an additional precursor flowing into the processing region 1033 from a separate portion of the showerhead. Alternatively, if all precursor species are being excited in chamber plasma region 1015, no additional precursors may be flowed through the separate portion of the showerhead. Little or no plasma may be present in the processing region 1033 during the compound two-step etch. Excited derivatives of the precursors may combine in the region above the substrate and, on occasion, on the substrate to etch structures or remove species on the substrate in disclosed applications.

Exciting the fluids in the chamber plasma region 1015 directly, or exciting the fluids in the RPS units 1002, may provide several benefits. The concentration of the excited species derived from the fluids may be increased within the processing region 1033 due to the plasma in the chamber plasma region 1015. This increase may result from the location of the plasma in the chamber plasma region 1015. The processing region 1033 may be located closer to the chamber plasma region 1015 than the remote plasma system (RPS) 1002, leaving less time for the excited species to leave excited states through collisions with other gas molecules, walls of the chamber, and surfaces of the showerhead.

The uniformity of the concentration of the excited species derived from the process gas may also be increased within the processing region 1033. This may result from the shape of the chamber plasma region 1015, which may be more similar to the shape of the processing region 1033. Excited species created in the RPS 1002 may travel greater distances in order to pass through apertures near the edges of the showerhead 1025 relative to species that pass through apertures near the center of the showerhead 1025. The greater distance may result in a reduced excitation of the excited species and, for example, may result in a slower growth rate near the edge of a substrate. Exciting the fluids in the chamber plasma region 1015 may mitigate this variation for the fluid flowed through RPS 1002, or alternatively bypassed around the RPS unit.

The processing gases may be excited in chamber plasma region 1015 and may be passed through the showerhead 1025 to the processing region 1033 in the excited state. While a plasma may be generated in the processing region 1033, a plasma may alternatively not be generated in the processing region. In one example, the only excitation of the processing gas or precursors may be from exciting the processing gases in chamber plasma region 1015 to react with one another in the processing region 1033. As previously discussed, this may be to protect the structures patterned on the substrate 1055.

In addition to the fluid precursors, there may be other gases introduced at varied times for varied purposes, including carrier gases to aid delivery. A treatment gas may be introduced to remove unwanted species from the chamber walls, the substrate, the deposited film and/or the film during deposition. A treatment gas may be excited in a plasma and then used to reduce or remove residual content inside the chamber. In other disclosed embodiments the treatment gas may be used without a plasma. When the treatment gas includes water vapor, the delivery may be achieved using a mass flow meter (MFM), an injection valve, or by commercially available water vapor generators. The treatment gas may be introduced to the processing region 1033, either through the RPS unit or bypassing the RPS unit, and may further be excited in the first plasma region.

FIG. 3B shows a detailed view of the features affecting the processing gas distribution through faceplate 1017. As shown in FIG. 3A and FIG. 3B, faceplate 1017, cooling plate 1003, and gas inlet assembly 1005 intersect to define a gas supply region 1058 into which process gases may be delivered from gas inlet 1005. The gases may fill the gas supply region 1058 and flow to chamber plasma region 1015 through apertures 1059 in faceplate 1017. The apertures 1059 may be configured to direct flow in a substantially unidirectional manner such that process gases may flow into processing region 1033, but may be partially or fully prevented from backflow into the gas supply region 1058 after traversing the faceplate 1017.

The gas distribution assemblies such as showerhead 1025 for use in the processing chamber section 1001 may be referred to as dual channel showerheads (DCSH) and are additionally detailed in the embodiments described in FIG. 3A as well as FIG. 3C herein. The dual channel showerhead may provide for etching processes that allow for separation of etchants outside of the processing region 1033 to provide limited interaction with chamber components and each other prior to being delivered into the processing region.

The showerhead 1025 may comprise an upper plate 1014 and a lower plate 1016. The plates may be coupled with one another to define a volume 1018 between the plates. The coupling of the plates may be so as to provide first fluid channels 1019 through the upper and lower plates, and second fluid channels 1021 through the lower plate 1016. The formed channels may be configured to provide fluid access from the volume 1018 through the lower plate 1016 via second fluid channels 1021 alone, and the first fluid channels 1019 may be fluidly isolated from the volume 1018 between the plates and the second fluid channels 1021. The volume 1018 may be fluidly accessible through a side of the gas distribution assembly 1025. Although the exemplary system of FIGS. 3A-3C includes a dual-channel showerhead, it is understood that alternative distribution assemblies may be utilized that maintain first and second precursors fluidly isolated prior to the processing region 1033. For example, a perforated plate and tubes underneath the plate may be utilized, although other configurations may operate with reduced efficiency or not provide as uniform processing as the dual-channel showerhead as described.

In the embodiment shown, showerhead 1025 may distribute via first fluid channels 1019 process gases which contain plasma effluents upon excitation by a plasma in chamber plasma region 1015. In embodiments, the process gas introduced into the RPS 1002 and/or chamber plasma region 1015 may contain fluorine, e.g., CF4, NF3 or XeF2. The process gas may also include a carrier gas such as helium, argon, nitrogen (N2), etc. Plasma effluents may include ionized or neutral derivatives of the process gas and may also be referred to herein as a radical-fluorine precursor referring to the atomic constituent of the process gas introduced.

FIG. 3C is a bottom view of a showerhead 1025 for use with a processing chamber according to disclosed embodiments. Showerhead 1025 corresponds with the showerhead shown in FIG. 3A. Through-holes 1031, which show a view of first fluid channels 1019, may have a plurality of shapes and configurations in order to control and affect the flow of precursors through the showerhead 1025. Small holes 1027, which show a view of second fluid channels 1021, may be distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 1031, which may help to provide more even mixing of the precursors as they exit the showerhead than other configurations.

An additional dual channel showerhead, as well as this processing system and chamber, are more fully described in patent application Ser. No. 13/251,714 filed on Oct. 3, 2011, which is hereby incorporated by reference for all purposes to the extent not inconsistent with the claimed features and description herein.

The chamber plasma region 1015 or a region in an RPS may be referred to as a remote plasma region. In embodiments, the radical precursor, e.g., a radical-fluorine precursor, is created in the remote plasma region and travels into the substrate processing region where it may or may not combine with additional precursors. Plasma power may essentially be applied only to the remote plasma region in disclosed embodiments.

Plasma effluents include a variety of molecules, molecular fragments and ionized species. In disclosed embodiments, both ammonia and nitrogen trifluoride are delivered to chamber plasma region 1015 to perform a Siconi™ etch during the second step (operations 120-140). Currently entertained theoretical mechanisms of Siconi™ etching may or may not be entirely correct but plasma effluents are thought to include NH4F and NH4F.HF which react readily with low temperature substrates described herein. Plasma effluents may react with a silicon oxide surface to form (NH4)2SiF6, NH3 and H2O products. The NH3 and H2O are vapors under the processing conditions described herein and may be removed from substrate processing region 1033 by a vacuum pump. A thin continuous or discontinuous layer of (NH4)2SiF6 solid by-products is left behind on the substrate surface.

Following exposure to plasma effluents and the associated accumulation of solid by-products, the substrate may be heated to remove the by-products. In embodiments, the lower plate 1016 is heatable by incorporating a heating element within lower plate 1016. The substrate may be heated by reducing the distance between the substrate and the heated lower plate. Lower plate 1020 may be heated to between about 100° C. and 150° C., between about 110° C. and 140° C. or between about 120° C. and 130° C. in disclosed embodiments. By reducing the separation between the substrate and the heated gas delivery plate, the substrate may be heated to above about 75° C., above about 90° C., above about 100° C. or between about 115° C. and about 150° C. in disclosed embodiments. The heat radiated from lower plate 1016 to the substrate should be made sufficient to dissociate or sublimate solid (NH4)2SiF6 on the substrate into volatile SiF4, NH3 and HF products which may be pumped away from substrate processing region 1033. Other methods of imparting heat to the substrate may also be used.

Nitrogen trifluoride (or another fluorine-containing precursor) may be flowed into chamber plasma region 1015 at rates between about 5 sccm and about 500 sccm, between about 10 sccm and about 150 sccm, or between about 25 sccm and about 125 sccm in disclosed embodiments. When a hydrogen-containing precursor is used, ammonia (or hydrogen-containing precursors in general) may be flowed into chamber plasma region 1015 at rates between about 5 sccm and about 800 sccm, between about 20 sccm and about 300 sccm, or between about 50 sccm and about 250 sccm in disclosed embodiments.

Combined flow rates of precursors into the chamber may account for 0.05% to about 20% by volume of the overall gas mixture; the remainder being carrier gases. The fluorine-containing precursor may be flowed into the remote plasma region, but the plasma effluents may have the same volumetric flow ratio in embodiments. In the case of the fluorine-containing precursor, a purge or carrier gas may be first initiated into the remote plasma region before the fluorine-containing gas to stabilize the pressure within the remote plasma region. Substrate processing region 1033 can be maintained at a variety of pressures during the flow of precursors, any carrier gases, and plasma effluents into substrate processing region 1033. The pressure may be maintained between about 0.1 mTorr and about 100 Torr, between about 1 Torr and about 20 Torr or between about 1 Torr and about 5 Torr in disclosed embodiments.

Embodiments of the deposition systems may be incorporated into larger fabrication systems for producing integrated circuit chips. FIG. 4 shows one such processing system 1101 of deposition, etching, baking, and curing chambers according to disclosed embodiments. In the figure, a pair of front opening unified pods (load lock chambers 1102) supply substrates of a variety of sizes that are received by robotic arms 1104 and placed into a low pressure holding area 1106 before being placed into one of the substrate processing chambers 1108a-f. A second robotic arm 1110 may be used to transport the substrate wafers from the holding area 1106 to the substrate processing chambers 1108a-f and back. Each substrate processing chamber 1108a-f, can be outfitted to perform a number of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation, and other substrate processes.

The substrate processing chambers 1108a-f may include one or more system components for depositing, annealing, curing and/or etching a dielectric film on the substrate wafer. In one configuration, two pairs of the processing chamber, e.g., 1108c-d and 1108e-f, may be used to deposit dielectric material on the substrate, and the third pair of processing chambers, e.g., 1108a-b, may be used to etch the deposited dielectric. In another configuration, all three pairs of chambers, e.g., 1108a-f, may be configured to etch a dielectric film on the substrate. Any one or more of the processes described may be carried out in chamber(s) separated from the fabrication system shown in disclosed embodiments.

In the preceding description, for the purposes of explanation, numerous details have been set forth in order to provide an understanding of various embodiments of the present invention. It will be apparent to one skilled in the art, however, that certain embodiments may be practiced without some of these details, or with additional details.

As used herein “substrate” may be a support substrate with or without layers formed thereon. The patterned substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits. Exposed “silicon” of the patterned substrate is predominantly Si but may include minority concentrations of other elemental constituents such as nitrogen, oxygen, hydrogen, carbon and the like. Exposed “silicon” may consist only of silicon. Exposed “titanium nitride” of the patterned substrate is predominantly TixNy but may include minority concentrations of other elemental constituents such as nitrogen, oxygen, hydrogen, carbon and the like. “Exposed titanium nitride” may consist only of titanium and nitrogen. Exposed “silicon oxide” of the patterned substrate is predominantly SiO2 but may include minority concentrations of other elemental constituents such as nitrogen, hydrogen, carbon and the like. In some embodiments, silicon oxide films etched using the methods disclosed herein consist of silicon and oxygen.

The term “precursor” is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface. “Plasma effluents” describe gas exiting from the chamber plasma region and entering the substrate processing region. Plasma effluents are in an “excited state” wherein at least some of the gas molecules are in vibrationally-excited, dissociated and/or ionized states. A “radical precursor” is used to describe plasma effluents (a gas in an excited state which is exiting a plasma) which participate in a reaction to either remove material from or deposit material on a surface. “Radical-fluorine” are radical precursors which contain fluorine but may contain other elemental constituents. The phrase “inert gas” refers to any gas which does not form chemical bonds when etching or being incorporated into a film. Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film.

The terms “gap” and “trench” are used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches may appear circular, oval, polygonal, rectangular, or a variety of other shapes. A trench may be in the shape of a moat around an island of material. The term “via” is used to refer to a low aspect ratio trench (as viewed from above) which may or may not be filled with metal to form a vertical electrical connection. As used herein, a conformal etch process refers to a generally uniform removal of material on a surface in the same shape as the surface, i.e., the surface of the etched layer and the pre-etch surface are generally parallel. A person having ordinary skill in the art will recognize that the etched interface likely cannot be 100% conformal and thus the term “generally” allows for acceptable tolerances.

Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the disclosed embodiments. Additionally, a number of well known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.

Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.

As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a process” includes a plurality of such processes and reference to “the dielectric material” includes reference to one or more dielectric materials and equivalents thereof known to those skilled in the art, and so forth.

Also, the words “comprise,” “comprising,” “include,” “including,” and “includes” when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims

1. A method of removing a fluorocarbon layer from a low-k dielectric layer on a patterned substrate, the method comprising two sequential steps:

(i) treating the patterned substrate with a local plasma formed from a silicon-and-fluorine-containing precursor, wherein the operation of treating the patterned substrate removes the fluorocarbon layer from patterned substrate and forms a fluorinated silicon oxide layer on the low-k dielectric layer and the local plasma is formed by applying a local plasma power;
(ii) flowing a fluorine-containing precursor into a remote plasma region fluidly coupled to a substrate processing region while forming a remote plasma in the remote plasma region to produce plasma effluents, wherein forming the remote plasma in the remote plasma region to produce the plasma effluents comprises striking an RF plasma having an RF plasma power to the plasma region; and etching the fluorinated silicon oxide layer by flowing the plasma effluents into the substrate processing region.

2. The method of claim 1 wherein the silicon-and-fluorine-containing precursor comprises silicon tetrafluoride.

3. The method of claim 1 wherein the substrate processing region is devoid of oxygen during sequential step (i).

4. The method of claim 1 wherein the substrate processing region is devoid of nitrogen during sequential step (i).

5. The method of claim 1 wherein the local plasma power is between about 10 watts and about 500 watts to the substrate processing region.

6. The method of claim 1 wherein forming a remote plasma in the remote plasma region to produce plasma effluents comprises applying RF power between about 1 watts and about 5000 watts to the remote plasma region.

7. The method of claim 1 wherein the local plasma is formed by applying a DC bias power such that the local plasma power comprises both an AC portion and a DC portion.

8. The method of claim 1 wherein the DC bias power comprises applying a DC bias voltage greater than 200 volts to accelerate inert gas ions toward the patterned substrate.

9. The method of claim 1 wherein the plasma effluents enter the substrate processing region through through-holes in a showerhead which separates the remote plasma region from the substrate processing region.

10. The method of claim 1 wherein the remote plasma region is devoid of hydrogen during sequential step (ii).

11. The method of claim 1 wherein the fluorine-containing precursor comprises nitrogen trifluoride.

12. The method of claim 1 wherein step (ii) further comprises flowing a hydrogen-containing precursor into the remote plasma region.

13. The method of claim 12 wherein the hydrogen-containing precursor comprises ammonia (NH3).

14. The method of claim 12 wherein step (ii) further comprises forming solid residue etch by-product on the surface of the patterned substrate.

15. The method of claim 14 further comprising a step (iii) which comprises after raising a temperature of the patterned substrate step above 90° C. to sublimate the solid residue, wherein step (iii) occurs after step (ii).

16. The method of claim 12 wherein forming a remote plasma in the remote plasma region to produce plasma effluents comprises applying RF power between about 10 watts and about 300 watts to the remote plasma region.

17. The method of claim 1 wherein a pressure within the substrate processing region is between about 0.01 Torr and about 50 Torr during sequential step (ii).

Patent History
Publication number: 20140342532
Type: Application
Filed: Aug 14, 2013
Publication Date: Nov 20, 2014
Applicant: Applied Materials, Inc. (Santa Clara, CA)
Inventors: Lina Zhu (San Jose, CA), Sean S. Kang (San Ramon, CA), Srinivas D. Nemani (Sunnyvale, CA), Chia-Ling Kao (San Jose, CA)
Application Number: 13/966,453
Classifications
Current U.S. Class: By Layers Which Are Coated, Contacted, Or Diffused (438/476)
International Classification: H01L 21/3105 (20060101); H01L 21/311 (20060101);