MANUFACTURING METHOD OF DIAMOND COMPOSITE WAFER

A method to process a diamond composite wafer includes the following steps: (a). forming a plurality of through vias in the diamond composite wafer and a first re-distribution layer on a firs side of the diamond composite wafer; (b). attaching a temporary carrier to the first re-distribution layer, and forming a second re-distribution layer on a second side of the diamond composite wafer; and (c). releasing the temporary carrier to form a circuit containing diamond composite wafer.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description

This application claims the benefit of U.S. provisional application Ser. No. 63/395,887, filed Aug. 8, 2022, the disclosures of which are incorporated by reference herein in its entirety.

TECHNICAL FIELD

The disclosure relates in general to a manufacturing method of a diamond composite wafer and a semiconductor structure.

BACKGROUND

The advent of 5G and AI has spurred a plethora of new end use applications in 3 Cs, namely, high-performance computing (HPC), data centers (i.e., cloud), base stations (i.e., connectivity), commercial/edge electronics (i.e., client/edge) and AI covering all 3 Cs, leading to high semiconductor growth and an exponential growth in data communication. According to Cisco Global Cloud Index, the annual global data center IP traffic will surpass an astounding 20 zettabytes (20×1021 bytes) by 2021, which was >3× growth from 6.8 zettabytes for 2016. Semiconductor devices that target the high-performance computing (HPC), data center and artificial intelligence (AI) markets have always represented the prevalent state-of-the-art when it comes to integrated circuits (ICs) and IC packaging technologies. The same also holds true for high-end smart handheld applications.

The skyrocketing data traffic demands advanced ICs, particularly processors and memories, and advanced system-in-a-package (SiP) packaging in the extreme for high HPC, data centers, AI, high-end smart handheld and 5G/6G applications. This, in turn, has propelled the following four industry disruptions covering IC, package and system levels which are taking place simultaneously: (a) from ever-larger processor system-on-chip (SoC) die to disaggregated small die based chiplets-in-SiP, (b) from traditional computing to near-memory computing to in-memory computing to reduce the memory wall between processor and memory at the system level in order to more fully exploit the processor performance potentials; (c) from copper interconnect to optical interconnect, and (d) from advanced organic laminate substrates to silicon interposers and hybrid substrates with embedded active and passive devices. SoC is an IC that integrates all or most components of a computer including almost always a central processing unit (CPU), memory, input/output ports and secondary storage, whereas SiP is defined an IC package containing more than one active device such as an IC or a MEMS (micro-electromechanical system). Extreme advanced SiP packaging of high-end processors and memories with fanout (for smart handhelds), 2.5D IC, 3D IC, embedded SiP and silicon photonics in particular has been and will continue to be the primary enabler of all four disruptions as well as continuation of IC performance advancement for advanced IC applications covering 3 Cs, leading to unprecedented levels of heterogeneous integration at the IC, package and system levels going forward.

In order to deliver ever-higher performance to process the exponential increase in data traffic, processor chip power at data centers is expected to grow 5 times from 2018 to 2025, reaching 1000 W per chip with chips packaged in 2.5D IC, 3D IC and/or chiplets-in-SiP platforms. Certain AI applications such as Cerebra's 8″×8″ wafer-scale AI processor chip, the largest SoC ever built, already consumes an astounding 15 KW per chip. According to an article published in Nature, “How to Stop Data Centers from Gobbling up the World's Electricity” (Sep. 12, 2018), the energy consumption of data centers and communication networks will reach 17% of total electricity demand worldwide by 2030. Data center power consumption and related chips' thermal management is expected to continue to limit the full potential of the cloud and 5G/6G digital world/economy applications as the industry struggles with power and cooling (by, for example, air, direct-to-chip liquid cooling and/or liquid immersion). In addition to escalating power consumption, data center power density requirements continue to increase year after year. The average rack power density is currently around 7-16k W. With HPC and data centers, power densities may reach 100k W per rack.

Data centers is maxing out on how much heat they may dissipate for applications such as servers (which drive 40 percent of the power used in data centers), network interface cards (NICs), and fiber-optic transceivers, as well as on the trade-offs between switching speed and power efficiency. Power management also presents a challenge for small devices requiring extremely high densities such as optical transceivers (and silicon photonics) as power supplies are bulky and too far away from them due to their large sizes.

The above market impetus together with the recently demonstrated ability of the grown diamond industry to grow larger, higher-quality, electronic grade diamond films (typically by microwave plasma-assisted chemical vapor deposition, CVD) present an excellent opportunity for diamond (both polycrystalline diamond, PCD, and single crystal diamond, SCD) and more so for silicon (Si)-diamond and SiC (silicon carbide)-diamond composite wafers (e.g., bi-wafers and tri-wafers) to be implemented to create a new breed of advanced ICs and advanced SiPs for the aforementioned high power and 5G/6G applications, taking advantage of diamond's “extreme” properties, notably, extreme thermal conductivity, TC (˜24 W/cm·K) which is >5× that of copper, extremely high breakdown field (˜20 MV/cm), and extremely low thermal expansion coefficient (˜1 ppm/° C. at room temperature). Diamond possesses the highest TC among all materials on Earth.

SUMMARY

According to an embodiment, a method to form a first diamond composite wafer, a second diamond composite wafer or a third diamond composite wafer with a first predetermined diameter includes the following steps: preparing a plurality of diamond blocks, wherein a dimension of each diamond block is smaller than the first predetermined diameter; attaching the plurality of diamond blocks to a first semiconductor substrate with the first predetermined diameter to form a first temporary composite wafer, wherein a thermal conductivity of the first semiconductor substrate is smaller than that of the diamond block; and filling gaps among the plurality of diamond blocks of the first temporary composite wafer to form the first diamond composite wafer; attaching the first diamond composite wafer to a second semiconductor substrate with the first predetermined diameter to form the second diamond composite wafer, and/or removing the first semiconductor substrate from the first diamond composite wafer to form the third diamond composite wafer.

According to another embodiment, a method to form a first diamond composite wafer, a second diamond composite wafer, a third diamond composite wafer or a fourth diamond composite wafer with a first predetermined diameter includes the following steps: depositing diamond on a second semiconductor substrate with a second predetermined diameter which is smaller than the first predetermined diameter to form a second temporary composite wafer, wherein a thermal conductivity of the second semiconductor substrate is smaller than that of diamond; attaching a plurality of the second temporary composite wafers to a first temporary carrier with the first predetermined diameter to form a first temporary composite wafer and filling gaps among the plurality of the second diamond composite wafers to form the first diamond composite wafer; attaching the first diamond composite wafer to a second semiconductor substrate with the first predetermined diameter to form the second diamond composite wafer, removing the first semiconductor substrate from the first diamond composite wafer to form the third diamond composite wafer, and/or removing the second semiconductor substrate from the second diamond composite wafer to form the fourth diamond composite wafer.

According to another embodiment, a method to form a first diamond composite wafer, a second diamond composite wafer, a third diamond composite wafer or a fourth diamond composite wafer with a first predetermined diameter, includes the following steps: attaching a plurality of diamond blocks and a plurality of semiconductor blocks to a first temporary carrier with the first predetermined diameter to form a first temporary composite wafer, wherein both a dimension of each diamond block and a dimension of each semiconductor block are smaller than the first predetermined diameter, and a thermal conductivity of the semiconductor block is smaller than that of the diamond block; and filling gaps among the plurality of diamond blocks and gaps among the plurality of semiconductor blocks, and removing the first temporary carrier to form the fourth diamond composite wafer; attaching the fourth diamond composite wafer to a first semiconductor substrate with the first predetermined diameter to form the second diamond composite wafer; removing the plurality of semiconductor blocks from the second diamond composite wafer to form the first diamond composite wafer; and/or removing the plurality of semiconductor blocks from the fourth diamond composite wafer to form the third diamond composite wafer.

According to another embodiment, a method to form a first diamond composite IC wafer or a second diamond composite IC wafer, includes the following steps: (a). preparing a first semiconductor wafer with a first predetermined diameter, wherein the first semiconductor wafer includes a set of IC circuits on a first side of the first semiconductor wafer; (b). preparing a plurality of diamond blocks wherein a dimension of each diamond block is smaller than the first predetermined diameter, or a diamond wafer with the first predetermined diameter; and (c). bonding the plurality of diamond blocks to the first semiconductor wafer, and filling gaps among the plurality of diamond blocks to form the first diamond composite IC wafer; or bonding the diamond wafer with the first predetermined diameter to the first semiconductor wafer to form the second diamond composite IC wafer.

According to a first embodiment, a method to process a diamond composite wafer includes the following steps: (a). forming a plurality of through vias in the diamond composite wafer and a first re-distribution layer on a first side of the diamond composite wafer; (b). attaching a temporary carrier to the first re-distribution layer, and forming a second re-distribution layer on a second side of the diamond composite wafer; and (c). releasing the temporary carrier to form a circuit containing diamond composite wafer.

According to a second embodiment based on the first embodiment, wherein the diamond composite wafer includes a semiconductor substrate with a predetermined diameter and a plurality of diamond blocks on the semiconductor substrate.

According to a third embodiment based on the second embodiment, the semiconductor substrate includes a plurality of semiconductor blocks consolidated into the predetermined diameter.

According to a fourth embodiment based on the second embodiment, method further includes: forming a plurality of IC circuits in the semiconductor substrate.

According to a fifth embodiment based on the second embodiment, the first re-distribution layer is formed on the semiconductor substrate, and the second re-distribution layer is formed on the plurality of diamond blocks.

According to a sixth embodiment based on the second embodiment, method further includes: dicing the circuit containing diamond composite wafer into a plurality of circuit containing composite block, each circuit containing composite block includes one of the diamond blocks and a semiconductor block diced from the semiconductor substrate.

According to a seventh embodiment based on the first embodiment, each of the plurality of through vias includes an electrical via, an optical via, a thermal via and/or a fluidic via.

According to an eighth embodiment based on the first embodiment, the first re-distribution layer and/or the second re-distribution layer includes an electrical interconnection or an optical waveguide.

According to a ninth embodiment based on the first embodiment, the diamond composite wafer includes a first semiconductor substrate with a predetermined diameter, a plurality of diamond blocks on the first semiconductor substrate, and a second semiconductor substrate with the predetermined diameter on the first semiconductor substrate.

According to a tenth embodiment based on the ninth embodiment, the first re-distribution layer is formed on the first semiconductor substrate, and the second re-distribution layer is formed on the second semiconductor substrate.

According to a 11th embodiment based on the ninth embodiment, method further includes: dicing the circuit containing diamond composite wafer into a plurality of circuit containing composite block, each circuit containing composite block includes one of the diamond blocks, a first semiconductor block diced from the first semiconductor substrate, and a second semiconductor block diced from the second semiconductor substrate.

According to a 12th embodiment based on the first embodiment, the diamond composite wafer includes a plurality of diamond blocks consolidated into a predetermined diameter.

According to a 13th embodiment based on the 12th embodiment, the first re-distribution layer and the second re-distribution layer are formed on different sides of the plurality of diamond blocks consolidated with the predetermined diameter.

According to a 14th embodiment based on the 12th embodiment, method further includes: dicing the circuit containing diamond composite wafer into a plurality of circuit containing composite block, each circuit containing composite block includes one of the diamond blocks.

The above and other aspects of the disclosure will become better understood with regard to the following detailed description of the preferred but non-limiting embodiment (s). The following description is made with reference to the accompanying drawings.

BRIEF DESCRIPTION OF THE DRAWINGS

FIG. 1A is a schematic diagram of a diamond composite wafer 100 according to an embodiment of the present disclosure;

FIG. 1B is a schematic diagram of a cross-sectional view of the diamond composite wafer of FIG. 1A in a direction 1B-1B′;

FIG. 2 is a cross-sectional view of a schematic diagram of a diamond composite wafer according to another embodiment of the present disclosure;

FIG. 3 is a schematic diagram of a cross-sectional view of a diamond composite wafer according to another embodiment of the present disclosure;

FIG. 4 is a schematic diagram of a cross-sectional view of a diamond composite wafer according to another embodiment of the present disclosure;

FIG. 5 is a schematic diagram of a cross-sectional view of a diamond composite wafer according to another embodiment of the present disclosure;

FIG. 6 is a schematic diagram of a cross-sectional view of a diamond composite wafer according to another embodiment of the present disclosure;

FIG. 7 is a schematic diagram of a cross-sectional view of a diamond composite wafer according to another embodiment of the present disclosure;

FIG. 8 is a schematic diagram of a cross-sectional view of a diamond composite wafer according to another embodiment of the present disclosure;

FIG. 9 is a schematic diagram of a cross-sectional view of a diamond composite wafer according to another embodiment of the present disclosure;

FIG. 10 is a schematic diagram of a cross-sectional view of a diamond composite wafer according to another embodiment of the present disclosure;

FIG. 11 is a schematic diagram of a cross-sectional view of a diamond composite sub-assembly according to another embodiment of the present disclosure;

FIG. 12 is a schematic diagram of a cross-sectional view of a diamond composite IC wafer according to another embodiment of the present disclosure;

FIG. 13 is a schematic diagram of a cross-sectional view of a diamond composite IC wafer according to another embodiment of the present disclosure;

FIG. 14 is a schematic diagram of a cross-sectional view of a diamond composite IC wafer according to another embodiment of the present disclosure;

FIG. 15 is a schematic diagram of a cross-sectional view of a diamond composite IC wafer according to another embodiment of the present disclosure;

FIGS. 16A to 16F are schematic diagrams of manufacturing processes of the diamond composite wafer of FIG. 2 according to an embodiment of the present disclosure;

FIGS. 17A to 17D are schematic diagrams of manufacturing processes of the diamond composite wafer of FIG. 5 according to an embodiment of the present disclosure;

FIGS. 18A to 18F are schematic diagrams of manufacturing processes of the diamond composite wafer of FIG. 5 according to another embodiment of the present disclosure;

FIGS. 19A to 19E are schematic diagrams of manufacturing processes of the diamond composite wafer of FIG. 9 according to an embodiment of the present disclosure;

FIGS. 20A to 20J are schematic diagrams of manufacturing processes of the diamond composite wafer of FIG. 9 according to another embodiment of the present disclosure;

FIGS. 21A to 21C are schematic diagrams of manufacturing processes of the diamond composite IC wafer of FIG. 12 according to an embodiment of the present disclosure;

FIGS. 22A to 22C are schematic diagrams of manufacturing processes of the diamond composite IC wafer of FIG. 12 according to another embodiment of the present disclosure;

FIGS. 23A to 23C are schematic diagrams of manufacturing processes of the diamond composite IC wafer of FIG. 13 according to another embodiment of the present disclosure;

FIGS. 24A to 24G are schematic diagrams of manufacturing processes of a diamond composite IC wafer according to another embodiment of the present disclosure;

FIGS. 25A to 25G are schematic diagrams of manufacturing processes of a diamond composite IC wafer according to another embodiment of the present disclosure;

FIG. 26 is a schematic diagram of a wafer IC according to an embodiment of the present disclosure;

FIG. 27 is a schematic diagram of a wafer IC according to another embodiment of the present disclosure;

FIGS. 28A to 28E are schematic diagrams of manufacturing processes of the wafer IC of FIG. 26 according to an embodiment of the present disclosure;

FIGS. 29A to 29E are schematic diagrams of manufacturing processes of the wafer IC of FIG. 26 according to an embodiment of the present disclosure; and

FIGS. 30A to 30E are schematic diagrams of manufacturing processes of the wafer IC of FIG. 27 according to another embodiment of the present disclosure.

In the following detailed description, for purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of the disclosed embodiments. It will be apparent, however, that one or more embodiments could be practiced without these specific details. In other instances, well-known structures and devices are schematically shown in order to simplify the drawing.

DETAILED DESCRIPTION

This invention discloses methodologies, processes and structures to create the aforementioned full-sized composite wafers starting from a diamond substrate which is smaller than 8″ in diameter. What this invention achieves is at least: fill the large size gaps between diamond and silicon, between diamond and silicon-on-insulator (SOI), between diamond and silicon carbide (SiC) and between diamond and gallium nitride (GaN) through the creation of full-sized diamond based composite wafers (covering a diamond bi-wafer, a diamond tri-wafer and a diamond wafer) via heterogeneous integration of advanced packaging, wafer back-end-of-the-line (BEOL) and MEMS technologies. There also exist a large variety of other material combinations (including those for photonic, radio frequency (RF) and power devices) that can lead to the formation of composite wafers using the methodologies, processes and structures disclosed herein.

Although examples will be shown based primarily on the creation of diamond composite wafers for the fabrication of advanced ICs, advanced interposers and advanced multi-chip SiPs for HPC, data centers and AI applications, the invention disclosed herein can also be applied to other types of single-chip and multi-die packages for other applications as warranted including power electronics, passive devices, MEMS, nano-electromechanical systems (NEMSs), single crystal diamond (SCD) resonators, lasers and optics, silicon photonics, lateral high-mobility FETs (field effect transistors), vertical Schottky barrier diode devices, quantum memory, and (room-temperature) quantum computers.

For HPC, data center and AI applications, diamond enabled advanced ICs and advanced SiPs may be implemented in conjunction with both air cooling and liquid cooling (including direct-to-chip liquid cooling and liquid immersion cooling). Air cooling is running out of steam for very energy intensive data centers. Conventional air-cooled chips with finned metal heatsinks and small fans may support up to 400 W. High-performance chips generate far more heat with far higher heat densities than conventional chips, peaking in small hot spots inside them that may damage the delicate circuitry if not cooled properly. Liquid cooling with direct-to-chip liquid cooling in particuar is already making inroads into data centers. Cooling with liquids, water included, could be 3-4 times more efficient than air cooling. Advanced cooling technologies like direct-to-chip liquid cooling using cold plates and direct liquid immersion cooling will play a key role in future hyperscale data center cooling as chip power continues to increase. Immersion cooling uses a leak-proof bath of dielectric fluid to submerge the full hardware. In both direct-to-chip cooling and immersion cooling, the liquid or fluid absorbs the heat, in some cases cools and condenses, and returns back as fluid to the bath.

The methodologies, processes and structures disclosed herein on the creation of diamond based composite wafers for the fabrication of diamond enabled advanced ICs, advanced interposers and advanced SiPs may be combined with new cooling methods such as direct-to-chip liquid cooling and liquid immersion cooling to maximize cooling effectiveness and efficiencies and to scale with rising chip power and liquid chip cooling trends.

Referring FIGS. 1A and 1B, FIG. 1A is a schematic diagram of a diamond composite wafer 100 according to an embodiment of the present disclosure, and FIG. 1B is a schematic diagram of a cross-sectional view of the diamond composite wafer 100 of FIG. 1A in a direction 1B-1B′. The diamond composite wafer 100 includes a plurality of diamond blocks 110 and a plurality of spacers 120 (such as filler including molding compound or silicon dioxide, SiO2). Each spacer 120 fills a gap G1 between two adjacent diamond blocks 110. In another example, the spacers 120 may be connected as a continuous structure, as shown in FIG. 1A.

Each diamond block 110 is formed of, diamond. Diamond possesses a unique combination of extreme properties: (1) thermal conductivity (W/cm·K): up to about 24 for SCD vs. about 4 for copper, about 1.5 for silicon, about 3 for GaN and about 5 for SiC-4H; (2) breakdown field (MV/cm): about 20 vs. about 0.3 for silicon, about 5 for GaN and about 3 for SiC-4H; (3) electron mobility (cm2/Vs): about 4,500 vs. about 1,450 for silicon, about 440 for GaN and about 900 for SiC-4H; (4) hole mobility (cm2/Vs): about 3,800 vs. about 480 for silicon, about 200 for GaN and about 120 for SiC-4H; (5) band gap (eV): about 5.5 vs. about 3.44 for GaN and about 3.2 for SiC-4H; (6) broadband optical transparency: from about 230 nm to about 15 μm to about 1 mm; (7) coefficient of thermal expansion: about 0.7 ppm/° C.; (8) hardness (about 10 by Mohs, highest), wear resistance and chemically inertness.

Diamond has the highest thermal conductivity of any known material on Earth at temperatures above 100 K which is five times that of copper. Diamond also comes with high electrical resistivity (diamond can insulate high voltages across much thinner layers of material) and high electrical breakdown field. Diamond has a very low coefficient of thermal expansion. Semiconducting diamond's electronic band gap is bigger than silicon, and the two mainstream wide-band-gap materials, SiC and GaN for power electronics. Diamond is transparent from the UV (230 nm) to the far infrared. Only minor absorption bands exist between 2.5 and 6 μm (that result from two phonon absorption). Diamond is an ideal material for multispectral optical applications. Diamond is extremely hard, wear resistant and chemically inert. It is an ideal material for hostile, highly erosive atmospheres.

Single crystal diamond (SCD) is the ultimate material for high voltage, high temperature, and high frequency applications. For silicon-diamond composite wafers to be practical for HPC and other high-power applications, it is best that these wafers are to 12″ in diameter, the largest wafer size in mainstream IC fabrication and advanced SiP related wafer-level processes (including those for advanced interposers and fan-out packages) today, for productivity and cost reasons. By the same token, 8″ SiC-diamond composite wafers are preferred for SiC applications as SiC wafers are already available commercially in 8″.

Despite significant progress made in the recent past in growing larger, high-quality diamond wafers/plates, diamond can now be grown commercially to a size/diameter of about 145 mm in terms of polycrystalline diamond (PCD), and is available in the form of 50 mm×50 mm high-quality SCD plates, both up to 0.5 mm thick. These sizes are still much smaller than 12″, required by, for instance, 12″ silicon-diamond composite wafers, and smaller than 8″ required by, for instance, 8″ SiC-diamond composite wafers.

In addition, the diamond block 110 can be of the same size as the IC die (viewed from FIG. 1A), for example, 32 millimeter (mm)×26 mm for die, or of the same size as the interposer (viewed from FIG. 1A), for example, 40 mm×50 mm for interposer. In addition, the diamond block 110 (viewed from FIG. 1A) can assume a size of 2 inches×2 inches for SCD, or 5 inches×5 inches for PCD. Dicing or cutting of diamond plates to desired sizes can be achieved using a laser.

In an embodiment, the spacer 120 may be formed of a material including, for example, filler, molding compound, etc. Filler candidates include diamond, silicon dioxide (SiO2), silicon nitride (Si3N4), spin-on-glass (SOG), and poly-silicon. The molding compound includes materials such as a Novolac-based resin, an epoxy-based resin, a silicone-based resin, or another suitable encapsulant, and may contain suitable fillers such as powdered SiO2. The molding compound may be formed by using, for example, transfer molding, compression molding, etc.

As shown in FIG. 1B, the spacer 120 has an upper surface 120u, and the diamond block 110 has an upper surface 110u, wherein the upper surface 110u and the upper surface 120u flush with each other.

FIG. 2 is a cross-sectional view of a schematic diagram of a diamond composite wafer 200 according to another embodiment of the present disclosure. The diamond composite wafer 200 includes a plurality of diamond blocks 110, a plurality of spacers 120 and a first semiconductor substrate 230. In the present embodiment, the diamond composite wafer 100 of FIG. 2 and the first semiconductor substrate 230 are connected to form the diamond composite wafer 200. A thermal conductivity of the first semiconductor substrate 230 is smaller than that of the diamond block 110.

As shown in FIG. 2, each diamond block 110 has a dimension D1, and the first semiconductor substrate 230 has a predetermined diameter D2, wherein the dimension D1 is smaller than the predetermined diameter D2. The predetermined diameter D2 may be, for example, 12 inches, and the first semiconductor substrate 230 is silicon substrate, for example, silicon wafer. In another embodiment, the predetermined diameter D2 is 8 inches, and the first semiconductor substrate 230 is SiC substrate.

FIG. 3 is a schematic diagram of a cross-sectional view of a diamond composite wafer 300 according to another embodiment of the present disclosure. The diamond composite wafer 300 includes a plurality of diamond blocks 110, a plurality of spacers 120, the first semiconductor substrate 230 and a second semiconductor substrate 330. Each spacer 120 fills the gap G1 between two adjacent diamond blocks 110. In the present embodiment, the diamond composite wafer 200 of FIG. 2 and the second semiconductor substrate 330 are connected to form the diamond composite wafer 300.

The second semiconductor substrate 330 has the predetermined diameter D2. In the present embodiment, the predetermined diameter D2 may be, for example, 12 inches. The second semiconductor substrate 230 is silicon substrate, for example, silicon wafer. In another embodiment, the predetermined diameter D2 is 8 inches, and the second semiconductor substrate 330 is SiC substrate.

FIG. 4 is a schematic diagram of a cross-sectional view of a diamond composite wafer 400 according to another embodiment of the present disclosure. The diamond composite wafer 400 includes a plurality of the diamond blocks 110, a plurality of the spacers 120 and the second semiconductor substrate 330. Each spacer 120 fills the gap G1 between two adjacent diamond blocks 110. In the present embodiment, the diamond composite wafer 400 includes the features the same as or similar to that of the diamond composite wafer 300 except that, for example, the diamond composite wafer 400 may omit the first semiconductor substrate 230 of the diamond composite wafer 300.

FIG. 5 is a schematic diagram of a cross-sectional view of a diamond composite wafer 500 according to another embodiment of the present disclosure. The diamond composite wafer 500 includes a plurality of diamond blocks 110, a plurality of spacers 520 and a plurality of semiconductor blocks 531. Viewed from top of the diamond composite wafer 500, the spacers 520 may be connected as a continuous structure. The semiconductor block 531 may be obtained by cutting a Si wafer or a SiC wafer.

As shown in FIG. 5, each spacer 520 fills the gap G1 between two adjacent diamond blocks 110 and a gap G2 between two adjacent semiconductor blocks 531. In an embodiment, the gap G1 may be equal to, greater than or smaller than the gap G2. The spacer 520 is formed of a material the same as or similar to that of the spacer 120. In addition, the diamond block 110 has the dimension D1 and the semiconductor block 531 has a dimension D3, wherein the dimension D3 of the semiconductor block 531 is smaller than, greater than or equal to the dimension D1 of the diamond block 110. The dimension D1 and the dimension D3 are smaller than the predetermined diameter D2. A thermal conductivity of the semiconductor block 531 may be smaller than that of the diamond block 110.

As shown in FIG. 5, each spacer 520 has an upper surface 520u, and the diamond block 110 has the upper surface 110u, wherein the upper surface 110u and the upper surface 520u flush with each other.

FIG. 6 is a schematic diagram of a cross-sectional view of a diamond composite wafer 600 according to another embodiment of the present disclosure. The diamond composite wafer 600 includes the diamond composite wafer 500 and the second semiconductor substrate 330 attached to the diamond composite wafer 500. In the present embodiment, the diamond composite wafer 600 includes features the same as or similar to that of the diamond composite wafer 500 except that, for example, the diamond composite wafer 600 further includes the second semiconductor substrate 330 connected to the diamond composite wafer 500.

FIG. 7 is a schematic diagram of a cross-sectional view of a diamond composite wafer 700 according to another embodiment of the present disclosure. The diamond composite wafer 700 includes a plurality of diamond blocks 110, a plurality of spacers 520 and the second semiconductor substrate 330. In the present embodiment, the diamond composite wafer 700 includes the features the same as or similar to that of the diamond composite wafer 600 except that, for example, the diamond composite wafer 700 may omit the semiconductor blocks 531 and a portion of the spacer 520 within the gap G2 of FIG. 6.

FIG. 8 is a schematic diagram of a cross-sectional view of a diamond composite wafer 800 according to another embodiment of the present disclosure. The diamond composite wafer 800 includes a plurality of composite blocks 800A and a plurality of spacers 520. Each composite block 800A includes a diamond layer 810 and the semiconductor block 531, wherein each diamond layer 810 is formed on the corresponding semiconductor block 531. Each spacer 520 fills the gap G1 between two adjacent diamond layers 810 and the gap G2 between two adjacent semiconductor blocks 531. In the present embodiment, the diamond block 810 may be formed using deposition, for example, chemical vapor deposition (CVD).

FIG. 9 is a schematic diagram of a cross-sectional view of a diamond composite wafer 900 according to another embodiment of the present disclosure. The diamond composite wafer 900 includes a plurality of diamond blocks 110, a plurality of spacers 520 and a plurality of silicon layers 831. Each silicon layer 831 is formed on the corresponding diamond block 110.

The silicon layer 831 may be a portion of a silicon-on-insulator (SOI) wafer. The SOI wafer includes a bulk silicon, a silicon dioxide (SiO2) layer and a silicon layer 831, wherein the silicon dioxide layer is formed between the bulk silicon and the silicon layer 831. Before or after the SOI wafer is connected to the diamond block 110, the bulk silicon and the silicon dioxide layer may be removed. In an embodiment, the silicon layer 831 is a thin layer having a thickness ranging between around 100 nm to a few micrometers.

FIG. 10 is a schematic diagram of a cross-sectional view of a diamond composite wafer 1000 according to another embodiment of the present disclosure. The diamond composite wafer 900 includes a plurality of diamond blocks 110, a plurality of spacers 520, a plurality of silicon layers 831 and the second semiconductor substrate 330. In the present embodiment, the second semiconductor substrate 330 and the diamond composite wafer 900 of FIG. 9 are connected to each other.

FIG. 11 is a schematic diagram of a cross-sectional view of a diamond composite sub-assembly 1100 according to another embodiment of the present disclosure. The diamond composite sub-assembly 1100 includes one diamond block 110 and one semiconductor block 231 connected to the diamond block 110.

In another embodiment, the diamond composite wafer may include one diamond block 110 and one semiconductor block 831 of FIG. 9 connected to the diamond block 110, or include one diamond layer 810 of FIG. 8 and one semiconductor block 531 connected to the diamond layer 810, or include one diamond block 110 and one semiconductor block 531 of FIG. 6 connected to the diamond block 110.

FIG. 12 is a schematic diagram of a cross-sectional view of a diamond composite IC wafer 1200 according to another embodiment of the present disclosure. The diamond composite IC wafer 1200 includes a diamond composite wafer 1101 and at least one first semiconductor substrate 1102. A plurality of the first semiconductor substrates 1102 are connected to each other by micro-bump or hybrid bond, and the diamond composite wafer 1101 and at least one of the first semiconductor substrates 1102 are connected to each other. The diamond composite wafer 1101 includes the structure the same as or similar to that of the diamond composite wafer 100 of FIG. 1B. In the present embodiment, the first semiconductor wafer 1102 is, for example, an IC wafer which includes a set of IC circuits on a side of the first semiconductor wafer 1102.

FIG. 13 is a schematic diagram of a cross-sectional view of a diamond composite IC wafer 1300 according to another embodiment of the present disclosure. The diamond composite IC wafer 1300 includes the diamond composite wafer 1101, at least one first semiconductor substrate 1102 and at least one diamond containing interposer 1203. A plurality of the first semiconductor substrates 1102 are connected to a plurality of the diamond containing interposers 1203. For example, one of the first semiconductor substrates 1102 is disposed between two adjacent diamond containing interposers 1203, or one of the diamond containing interposers 1203 is disposed between two adjacent first semiconductor substrates 1102. Although not shown, the diamond containing interposer 1203 may include a diamond layer, through diamond vias (TDVs) and a re-distribution layer (RDL) bonded to the diamond composite IC wafer 1200′, wherein the diamond composite IC wafer 1200′ includes the diamond composite wafer 1101 and at least one first semiconductor substrates 1102.

FIG. 14 is a schematic diagram of a cross-sectional view of a diamond composite IC wafer 1400 according to another embodiment of the present disclosure. The diamond composite IC wafer 1400 includes a diamond wafer 1301 and at least one first semiconductor substrate 1102. A plurality of the first semiconductor substrates 1102 are connected to each other, and the diamond wafer 1301 and one of the first semiconductor substrates 1102 are connected to each other.

FIG. 15 is a schematic diagram of a cross-sectional view of a diamond composite IC wafer 1500 according to another embodiment of the present disclosure. The second diamond composite IC wafer 1500 includes the diamond wafer 1301, at least one first semiconductor substrate 1102 and at least one diamond interposer 1203. A plurality of the first semiconductor substrates 1102 are connected to a plurality of diamond containing interposers 1203. For example, one of the first semiconductor substrates 1102 is disposed between two adjacent diamond containing interposers 1203, or one of the diamond containing interposers 1203 is disposed between two adjacent first semiconductor substrates 1102. Although not shown, the diamond containing interposer 1203 may include a diamond layer, TDVs and a re-distribution layer (RDL) bonded to the diamond composite IC wafer 1400′, wherein the first diamond composite IC wafer 1400′ includes the diamond wafer 1301 and at least one first semiconductor substrates 1102.

As described above, using a full-sized diamond wafer (for example, the diamond composite wafer 100 of FIG. 1B), a diamond bi-wafer (for example, the diamond composite wafer 200 of FIG. 2), a diamond tri-wafer (for example, the diamond composite wafer 300 of FIG. 3), one may proceed to build complex micro-machined advanced ICs and advanced interposers with redistribution layers (RDL) on both sides (front side, or chip/circuitry side, and backside) of the wafers and metal filled through-diamond vias (TDVs) or through-silicon/diamond-vias (TSDVs). Metal here can be Cu, W, Co, Mo, etc.

To facilitate seamless advanced IC fabrication and advanced package processing, the overall thickness of the diamond bi-wafer or the diamond tri-wafer, in one example, could be equal to (but not limited to) the thickness of a standard 12″ silicon wafer which is about 775 μm or the thickness (˜500 μm) of 8″ SiC wafers. The diamond tri-wafer may be used for making thin or ultrathin ICs such as high-end processors, or high-bandwidth-memory DRAM (which can be as thin as 30 μm), while the diamond bi-wafer (and even the diamond tri-wafer depending on desired final IC thicknesses and cooling requirements) may be used for processor ICs and 2.5D interposers. When tri-wafers are employed for the fabrication of thin ICs, the combined thickness of a silicon layer and the diamond layer in the tri-wafer preferably should be equal to the final IC thickness with removal of the second silicon layer (which serves as the carrier or support to ensure structural integrity during thin IC processing) prior to the end of processing.

FIGS. 16A to 16F are schematic diagrams of manufacturing processes of the diamond composite wafer 200 of FIG. 2 according to an embodiment of the present disclosure.

As shown in FIG. 16A, a plurality of diamond blocks 110 are prepared, wherein each diamond block 110 has the dimension D1 smaller than the predetermined diameter D2 as shown in FIGS. 16B and 16C. The diamond blocks 110 are bonded to a temporary carrier 10 as shown in FIG. 16B.

As shown in FIGS. 16B to 16D, the diamond blocks 110 are attached to the first semiconductor substrate 230 (as shown in FIG. 16C) with the predetermined diameter D2 to form a temporary composite wafer 200′ (as shown in FIG. 16D), wherein a thermal conductivity of the first semiconductor substrate 230 is smaller than that of each diamond block 110.

Furthermore, as shown in FIG. 16B, the diamond blocks 110 are attached on the temporary carrier 10 with the predetermined diameter D2 by a release layer 20. Then, as shown in FIG. 16C, the diamond blocks 110 which are attached to the temporary carrier 10 are bonded to the first semiconductor substrate 230. Then, as shown in FIG. 16D, the temporary carrier 10 and the release layer 20 are removed from the diamond blocks 110 to expose the diamond blocks 110 and to form the temporary composite wafer 200′. In an embodiment, the predetermined diameter D2 may be, for example, 12 inches, and the first semiconductor substrate 230 is silicon substrate, for example, silicon wafer. In another embodiment, the predetermined diameter D2 is 8 inches, and the first semiconductor substrate 230 is SiC substrate.

In an embodiment, before bonding the diamond blocks 110 to the first semiconductor substrate 230, the surfaces of the diamond blocks 110 and the surface of the first semiconductor substrate 230 preferably should have a arithmetic average roughness, Ra (arithmetic average roughness) or RMS (root mean square average roughness) smaller than 1 nm which is achievable by chemical mechanical polishing (CMP) and be treated, for example, by surface pre-conditioning and activation prior to bonding. The treated surfaces of the diamond blocks 110 are bonded to the treated surface of the first semiconductor substrate 230 with a glue layer 240 (as shown in FIG. 16C) or without the glue 240.

Pre-bonding conditioning of diamond and silicon surfaces can involve

    • Chemical mechanical polish (CMP) to achieve, preferably a surface roughness, Ra (arithmetic average roughness) or RMS (root mean square average roughness)<1 nm for both diamond and silicon. This level of Ra can be achieved by CMP for silicon and SCD, and by a combination of sacrificial SiO2 layer deposition, and SiO2 planarization by CMP and deep reactive ion etching (DRIE) for PCD (and SCD as needed),
    • Wet surface pre-treatments involving ultrasonic de-ionized (DI) water clean, H2SO4/H2O2 treatment, NH3/H2O2 treatment, and N2 blow dry,
    • Plasma/inductively coupled plasma reactive ion etching (ICP-RIE): O2, H2/O2,
    • Deep RIE (DRIE): O2/CF4, and
    • Activation of the bonding surfaces (with and/or without the glue layers) inside bonding machines prior to bonding by a fast atom beam gun, FAB (using, for instance, argon neutral atom beam at ˜1 keV), or by an ion gun (using for instance, argon ion at ˜60 eV) to remove oxide films in vacuum and to reveal dangling bonds at the surfaces for bonding.
      • (Note: FAB works well for (sputtered) Si/Si, Si/SiO2, metals, compound semiconductors and single crystal oxides, while ion guns is known to work for SiO2/SiO2, Glass, Si3N4 (silicon nitride)/Si3N4, Si/Si, Si/SiO2, metals, compound semiconductor, and single crystal oxides. A vacuum of 10−6 Pa (pascal) is preferred during bonding to prevent re-adsorption to activated bonding surfaces.)

The glue layer 240, which can be an electrical insulator or an electrical conductor, may be selected from the group consisting of Si (e.g., poly-silicon), SiO2, Si3N4, Al2O3 (alumina), diamond, boron nitride, aluminum nitride and graphene; or selected from the group consisting of Ti, W, Pt, Cr, Au, Cu, Ir, nickel (Ni), nickel-vanadium (Ni—V), iron (Fe), Ag—In, Au—In, Ag, Sn, Mo and other types of transient liquid phase bonding metals; or selected from the group consisting of Ir on SrTiO3, Ir on YSZ/Si, Ir on MgO, and sapphire or TaO3, or combinations thereof. When coating on the backside of an active IC wafer involves the use of an electrically conductive glue layer, a diffusion barrier (and also adhesion) layer, for example, can be deposited on the wafer backside first. This barrier layer can be Ti, chromium (Cr) or tungsten (W). A stack of Ti, Ti/nickel-vanadium (Ni—V) and Ag can also be sputter deposited on Si backside following in-situ sputter etching using argon (Ar) to remove native oxides from the Si backside to prepare the Si for bonding where the Ti layer can serve as the barrier to Ni diffusion towards Si, and the Ni—V layer, a solderable intermediate layer, forms good bonds with soft solders, and the bonding Ag layer protects the underlying layers from oxidation and enables solderability.

The Ti/Ni-V/Ag metal stack can be tailored to achieve low stresses and low wafer warpage which is particularly important for thin ICs commonly found in 3D IC structures by adjusting sputtering conditions. Ag to Ag and Au to Au bonding using a thermal compression bonder (TCB) can take place at temperatures below 250° C. Ag and Au have high thermal conductivities at 430 W/m·K, and 320 W/m·K, respectively (versus ˜400 W/m·K for copper and 148 W/m·K for silicon) and high melting points at 961° C. and 1064° C., respectively. Au is more costly compared to Ag. Ag and Au can be sputter deposited or plated. Ag can also be sintered Ag.

As shown in FIG. 16E, a plurality of the gaps G1 among the diamond blocks 110 of the temporary composite wafer 200′ are filled with spacer material 120′. The spacer material 120′ may be formed of a material including, for example, filler, molding compound, etc. The filler can be diamond, silicon dioxide (SiO2), silicon nitride (Si3N4), spin-on-glass (SOG), poly-silicon, etc., and the filler can be formed using deposition (e.g., CVD), spin coating etc. The molding compound can include a material such as a Novolac-based resin, an epoxy-based resin, a silicone-based resin, or another suitable encapsulant with suitable fillers such as powdered SiO2. The molding compound may be overmolded on the diamond blocks 110 by using, for example, transfer molding, compression molding, etc.

As shown in FIG. 16F, a portion of the spacer material 120′ is removed to form a plurality of the spacer 120 by using, for example, chemical mechanical polishing (CMP), deep reactive ion etching (DRIE), etc. Furthermore, the spacer material 120′ can be planarized to expose the diamond blocks 110 to form the diamond composite wafer 200. After planarization, the spacers 120 each having the upper surface 120u and the diamond blocks 110 each having the upper surface 110u are formed, and the upper surfaces 110u and the upper surfaces 120u flush with each other. Each of the spacers 120 fills the gap G1 between two adjacent diamond blocks 110. So far, the diamond composite wafer 200 of FIG. 2 is formed or completed.

In another embodiment, the first semiconductor substrate 230 of the diamond composite wafer 200 of FIG. 16F may be removed to form the diamond composite wafer 100 of FIG. 1B.

In other embodiment, the second semiconductor substrate 330 of FIG. 3 may be attached to the diamond blocks 110 of FIG. 16F to form the diamond composite wafer 300 of FIG. 3.

In other embodiment, the first semiconductor substrate 230 of the diamond composite wafer 300 of FIG. 3 may be removed to form the diamond composite wafer 400 of FIG. 4.

FIGS. 17A to 17D are schematic diagrams of manufacturing processes of the diamond composite wafer 500 of FIG. 5 according to an embodiment of the present disclosure.

As shown in FIG. 17A, a plurality of the diamond blocks 110 and a plurality of the semiconductor blocks 531 are attached to a temporary carrier 10A with the predetermined diameter D2 to form a temporary composite wafer 500′. The diamond block 110 has the dimension D1 and the semiconductor block 531 has the dimension D3, wherein the dimension D1 and the dimension D3 are smaller than the predetermined diameter D2. In addition, a thermal conductivity of the semiconductor block 531 is smaller than that of the diamond block 110.

Two adjacent diamond blocks 110 are spaced by the gap G1, and two adjacent semiconductor blocks 531 are spaced by the gap G2. In an embodiment, the gap G1 may be equal to, greater than or smaller than the gap G2.

In an embodiment, before the diamond blocks 110 and the semiconductor blocks 531 are bonded to the temporary carrier 10A with a release layer 20, the surfaces of the diamond blocks 110 and the surfaces of the semiconductor blocks 531 may be pre-treated and activated. The treated surfaces of the diamond blocks 110 are bonded to the treated surface of the semiconductor blocks 531 with the glue layer 240 (not shown in FIG. 17; see FIG. 16C) or without the glue layer 240. In addition, the release layer 20 may be removed along with the temporary carrier 10A. When coating on the backside of an active IC wafer is involved, a diffusion barrier layer, for example, can be deposited on the wafer backside first. This barrier layer can be Ti, chromium (Cr), tungsten (W) or other aforementioned materials and material combinations.

As shown in FIG. 17B, the gaps G1 between diamond blocks 110 and the gaps G2 between semiconductor blocks 531 are filled with the spacer material 520′.

The spacer material 520′ may be formed of a material including, for example, filler, molding compound, etc. The filler candidate can include diamond, silicon dioxide (SiO2), silicon nitride (Si3N4), spin-on-glass (SOG), poly-silicon, etc., and the filler is formed using deposition, spin coating, etc. The molding compound includes a material such as a Novolac-based resin, an epoxy-based resin, a silicone-based resin, or another suitable encapsulant, and suitable fillers such as powdered SiO2. The molding compound may be overmolded on the diamond blocks 110 by using, for example, transfer molding, compression molding, etc.

As shown in FIG. 17C, a portion of the spacer material 520′ is removed to form a plurality of the spacer 520 by using, for example, CMP, DRIE, etc. Each of the spacers 520 fills the gap G1 between two adjacent diamond blocks 110 and the gap G2 between two adjacent semiconductor blocks 531. Furthermore, the spacer material 520′ is planarized to expose the diamond blocks 110. After planarization, the spacers 520 each having the upper surface 520u and the diamond blocks 110 each having the upper surface 110u are formed, and the upper surface 110u and the upper surface 520u flush with each other.

As shown in FIG. 17D, the temporary carrier 10A and the release layer 20 are removed to expose the semiconductor blocks 531 and to form the diamond composite wafer 500.

In another embodiment, the diamond composite wafer 500 of FIG. 17D and the second semiconductor substrate 330 are connected to each other to form the diamond composite wafer 600 of FIG. 6.

In another embodiment, the diamond composite wafer 500 of FIG. 17D and the first semiconductor substrate 230 are connected to each other.

In another embodiment, the semiconductor blocks 531 and a portion of each spacer 520 (see FIG. 17D) are removed from the diamond composite wafer 600 of FIG. 6 to form the diamond composite wafer 700 of FIG. 7.

In another embodiment, the semiconductor blocks 531 and a portion of each spacer 520 of FIG. 17D are removed to form the diamond composite wafer 100 of FIG. 1B.

In another embodiment, the semiconductor blocks 531 and the diamond blocks 110 of FIG. 17A may be replaced by a plurality of the composite blocks 800A of FIG. 8. Furthermore, the composite block 800A may be formed by depositing the diamond layer 810 on the semiconductor blocks 531, followed by the deposition of a sacrificial layer such as CVD silicon dioxide on the diamond layer 810, and planarization and etching of the sacrificial layer through a combination of CMP and DRIE to form the composite block 800A, wherein each composite block 800A includes the diamond layer 810 on the semiconductor block 531. Then, the composite blocks 800A are attached on the temporary carrier 10A by the release layer 20. The other process steps of the diamond composite wafer 800 of FIG. 8 are the same as or similar to the corresponding process steps of the diamond composite wafer 500, and the similarities will not be repeated here.

FIGS. 18A to 18F are schematic diagrams of manufacturing processes of the diamond composite wafer 500 of FIG. 5 according to another embodiment of the present disclosure.

As shown in FIG. 18A, the diamond blocks 110 are attached on a temporary carrier 10B with the predetermined diameter D2 by the release layer 20. Two adjacent diamond blocks 110 are spaced by the gap G1.

As shown in FIG. 18B, the semiconductor blocks 531 are attached on a temporary carrier 10C with the predetermined diameter D2 by the release layer 20 (or a release layer different from that used to bond the diamond blocks 110 to the temporary carrier 10B). Two adjacent semiconductor block 531 are separated by the gap G2.

As shown in FIG. 18C, the diamond blocks 110 which are attached to the temporary carrier 10B are bonded to the semiconductor blocks 531 which are attached to the temporary carrier 10C with or without the glue layer 240.

In an embodiment, before the diamond blocks 110 are bonded to the semiconductor blocks 531, the surfaces of the diamond blocks 110 and the surfaces of the semiconductor blocks 531 may be pre-treated and activated. The treated surfaces of the diamond blocks 110 are bonded to the treated surface of the semiconductor blocks 531 with the glue layer 240 or without the glue 240. In addition, the release layer 20 may be removed with the temporary carrier 10B to reveal the diamond blocks 110 on the semiconductor blocks 531. When coating on the backside of an active IC wafer is involved, a diffusion barrier layer, for example, can be deposited on the wafer backside first. This barrier layer can be Ti, chromium (Cr), tungsten (W) or other aforementioned materials and material combinations.

As shown in FIG. 18D, the temporary carrier 10B is removed to expose the diamond blocks 110.

As shown in FIG. 18E, the gaps G1 among the diamond blocks 110 and the gaps G2 among the semiconductor blocks 531 are filled with the spacer material 520′. The spacer material 520′ may be formed of a material including, for example, filler, molding compound, etc. The filler can be formed using deposition, spin coating, etc. The molding compound may be overmolded on the diamond blocks 110 by using, for example, transfer molding, compression molding, etc.

As shown in FIG. 18F, a portion of the spacer material 520′ is removed to form the spacers 520 by using, for example, CMP, DRIE, etc. Furthermore, the spacer material 520′ is planarized to expose the diamond blocks 110. After planarization, the spacers 520 each having the upper surface 520u and the diamond blocks 110 each having the upper surface 110u are formed, and the upper surface 110u and the upper surface 520u flush with each other.

Then, the temporary carrier 10C and the release layer 20 of FIG. 18F are removed to form the diamond composite wafer 500 of FIG. 5.

FIGS. 19A to 19E are schematic diagrams of manufacturing processes of the diamond composite wafer 900 of FIG. 9 according to an embodiment of the present disclosure.

As shown in FIG. 19A, the diamond block 110 is attached to the semiconductor block 831, wherein each semiconductor block 831 is a SOI block with the Si layer 8311, the SiO2 layer 8312 and the Si bulk 8313, and the SiO2 layer 8312 is formed between the Si layer 8311 and the Si bulk 8313.

The surfaces of the diamond blocks 110 and the surfaces of the semiconductor blocks 831 may be pre-treated and activated. The treated surfaces of the diamond blocks 110 on a temporary carrier (not shown) are bonded to the treated surface of the semiconductor blocks 831 on another temporary carrier (not shown) with the glue layer 240 or without the glue layer 240. Following bonding, the temporary carrier supporting the semiconductor block is released along with the release layer, followed by removal of the Si bulk 8313 and the SiO2 layer 8312. This is then followed by bonding of the third temporary carrier to the exposed Si layers 8311 bonded to the diamond blocks, and removal of the temporary carrier supporting diamond blocks leading to the structure shown in FIG. 19C.

As shown in FIG. 19B, the Si bulk 8313 is removed by using, for example, back-grinding, CMP, a KOH etch, etc., and the SiO2 layer 8312 is removed by using, for example, HF etch, etc. The silicon oxide layer may be etched off with a HF etching step. For silicon, common chemical etchants are mixtures of nitric acid (HNO3) and hydrofluoric acid (HF). One of the most common etchant used to remove SiO2 is dilute solutions of HF. After the Si bulk 8313 and the SiO2 layer 8312 are removed, the Si layer 8311 is exposed and the diamond block 110 and the Si layer 8311 form one composite block 900A supported by the third temporary carrier 10B.

SOI enables lower overall processor operating powers. Compared to bulk silicon, ICs built by SOI wafers show a performance gain of 30% at identical feature sizes due to the electrical isolation provided by SiO2. Replacing SiO2 with diamond with a thermal conductivity (TC) that is over 2000 times that of SiO2 may dramatically improves processor performance and enables 10× powers and 3× circuit densities compared to SOIs based on SiO2.

Bonding of SCD to SiC may be achieved at room (or low temperatures) by protecting the diamond surface with an ultrathin metal layer such as Ti of 10 nm or less during FAB (using, e.g., Ar neutral atom) irradiation (i.e., surface activation) prior to SiC-to-diamond bonding. There are many applications that may take advantage of SiC-diamond bonding for enhanced heat dissipation, two of which are high-power GaN HEMT to boost radar performance and cooling of SiC devices for high-power, high-temperature and high-reliability applications such as SiC inverters for electrical vehicles. GaN is a material that may be used in the production of semiconductor power devices, LEDs and RF components. GaN may be integrated with diamond to boost its performance using the processes and structures disclosed above. GaN on diamond makes the material particularly attractive for high power RF applications such as radar applications. GaN based HEMTs are suitable for microwave and millimeter-wave amplifiers with high output power and high-efficiency in long distance radio wave applications. During their operation in high speed switching applications, the local flux value could reach more than ten times larger than that of the sun surface. Proper heat spreading by placing diamond as close as possible to the hot spots (and better yet to immediate vicinity of chip hot spots) may decrease the channel temperature effectively, facilitating device stability and use life. SiC is currently used as the substrate in some GaN HEMT power amp applications to facilitate heat dissipation. Even though the TC of SiC is already high, diamond with a far higher TC than SiC (about 4-5×) may be bonded to SiC and the two combined also allow increasing power output and hence GaN HEMT increased performance.

As shown in FIG. 19C, a plurality of the composite blocks 900A of FIG. 19B are attached on the temporary carrier 10B using the release layer 20.

As shown in FIG. 19D, the gaps G1 between diamond blocks 110 and the gaps G2 between Si layers 8311 are filled with the spacer material 520′. The spacer material 520′ may be formed of a material including, for example, filler, molding compound, etc. The filler is formed using deposition, spin coating, etc. The molding compound may be overmolded on the diamond blocks 110 by using, for example, transfer molding, compression molding, etc.

As shown in FIG. 19E, a portion of the spacer material 520′ is removed to form a plurality of the spacer 520 by using, for example, CMP, DRIE, etc. Each of the spacers 520 fills the gap G1 between two adjacent diamond blocks 110 and the gap G2 between two adjacent Si layers 8311. Furthermore, the spacer material 520′ is planarized to expose the diamond blocks 110. After planarization, the spacers 520 each having the upper surface 520u and the diamond blocks 110 each having the upper surface 110u are formed, and the upper surface 110u and the upper surface 520u flush with each other.

Then, the temporary carrier 10B and the release layer 20 of FIG. 19E are removed to form the diamond composite wafer 900 of FIG. 9.

In an embodiment, a second semiconductor substrate 330 is attached to the diamond blocks 110 and the temporary carrier 10B and the release layer 20 of FIG. 19E are removed to form the diamond composite wafer 1000 of FIG. 10.

In another embodiment, a new temporary carrier (not shown) is attached to the structure in FIG. 19E to the surface with exposed diamond and then the temporary carrier 10B and the release layer 20 of FIG. 19E are removed. This is then followed by the removal of the Si layers 8311 and a portion of the spacer 520 to form the diamond composite wafer 100 of FIG. 1B.

FIGS. 20A to 20J are schematic diagrams of manufacturing processes of the diamond composite wafer 900 of FIG. 9 according to another embodiment of the present disclosure.

As shown in FIG. 20A, the diamond blocks 110 are attached on the temporary carrier 10B with the predetermined diameter D2. Each diamond block 110 has the dimension D1 smaller than the predetermined diameter D2 of the temporary carrier 10B.

As shown in FIG. 20B, the semiconductor blocks 831 are attached on the temporary carrier 10C with the predetermined diameter D2, wherein each semiconductor block 831 is the SOI block with the Si layer 8311, the SiO2 layer 8312 and the Si bulk 8313, and the SiO2 layer 8312 is formed between the Si layer 8311 and the Si bulk 8313. Each semiconductor block 831 has the dimension D3 equal to, smaller than or greater than the dimension D1 of the diamond block 110 of FIG. 20A.

As shown in FIG. 20C, the diamond blocks 110 which are attached to the temporary carrier 10B are attached to the semiconductor blocks 831 which are attached to the temporary carrier 10C.

Before the diamond blocks 110 are bonded to the semiconductor blocks 831, the surfaces of the diamond blocks 110 and the surfaces of the semiconductor blocks 831 may be pre-treated and activated. The treated surfaces of the diamond blocks 110 are bonded to the treated surface of the semiconductor blocks 831 with the glue layer 240 or without the glue layer 240.

As shown in FIG. 20D, the temporary carrier 10C is removed to reveal the semiconductor block 831.

As shown in FIG. 20E, the Si bulk 8313 is removed by using, for example, back-grinding, CMP, a KOH etch, etc., and the SiO2 layer 8312 is removed by using, for example, HF etch, etc. After the Si bulk 8313 and the SiO2 layer 8312 are removed, the Si layer 8311 is exposed and the diamond block 110 and the Si layer 8311 form one composite block 900A.

As shown in FIG. 20F, the temporary carrier 10A is attached to the revealed Si layers 8311 with the release layer 20.

As shown in FIG. 20G, the temporary carrier 10B and the release layer 20 of FIG. 20F are removed to expose the diamond blocks 110.

As shown in FIG. 20H, the gaps G1 between diamond blocks 110 and the gaps G2 between semiconductor blocks 531 are filled with the spacer material 520′. The spacer material 520′ may be formed of a material including, for example, filler, molding compound, etc. The filler is formed through deposition, coating, molding etc. of a material such as a molding compound, a spin-on glass (SOG), diamond, SiO2, polysilicon, etc. The molding compound may be overmolded on the diamond blocks 110 by using, for example, transfer molding, compression molding, etc.

As shown in FIG. 20I, a portion of the spacer material 520′ is removed to form a plurality of the spacers 520 by using, for example, CMP, DRIE, etc. Each of the spacers 520 fills the gap G1 between two adjacent diamond blocks 110 and the gap G2 between two adjacent Si layers 8311. Furthermore, the spacer material 520′ is planarized to expose the diamond blocks 110. After planarization, the spacers 520 each having the upper surface 520u and the diamond blocks 110 each having the upper surface 110u are formed, and the upper surface 110u and the upper surface 520u flush with each other.

As shown in FIG. 20J, the second semiconductor substrate 330 is attached to the diamond blocks 110. In another embodiment, the first semiconductor substrate 230 may be attached to the diamond blocks 110.

Then, the temporary carrier 10A and the release layer 20 of FIG. 20J are removed to expose the Si layers 8311 and form the diamond composite wafer 1000 of FIG. 10.

In an embodiment, the temporary carrier 10A and the release layer 20 of FIG. 20I are removed to form the diamond composite wafer 900 of FIG. 9.

As described above, a filler material such as diamond or SiO2 is deposited by CVD. Molding compound is overmolded on diamond plates by transfer or compression molding machines. When diamond is used as the filler, it may be planarized following filler deposition to form 12″ silicon-diamond bi-wafer with the use of a combination of sacrificial layer such as CVD SiO2 (after diamond deposition and some preliminary CMP) deposition, CMP and DRIE. In the case of molding compound, it may be planarized by backgrinding, as is typical of fan-out processing. Poly-silicon may be deposited using catalytic CVD at a temperature as low as 180° C. SiO2, on the other hand, may be deposited at 250° C. by plasma enhanced CVD. SOG which mixes SiO2 and either boron or phosphorous with the mixture suspended in a solvent solution. It is easy to apply by spin coating it onto a particle-free substrate. SOG may achieve good thickness uniformity. Siloxane type SOG may be deposited by multiple spin, bake and cure processes.

FIGS. 21A to 21C are schematic diagrams of manufacturing processes of the diamond composite IC wafer 1200 of FIG. 12 according to an embodiment of the present disclosure.

As shown in FIG. 21A, the first semiconductor wafer 1102 with the predetermined diameter D2 are prepared, wherein the first semiconductor wafer 1102 includes a set of IC circuits on a first side of the first semiconductor wafer 1102.

As shown in FIG. 21B, the diamond composite wafer 1101 with the predetermined diameter D2 is prepared.

As shown in FIG. 21C, the diamond composite wafer 1101 is bonded to the first semiconductor wafer 1102 to form the diamond composite IC wafer 1200′.

Then, at least one first semiconductor wafers 1102 is attached to the diamond composite wafer of the diamond composite IC wafer 1200′ of FIG. 21C to form the diamond composite IC wafer 1200 of FIG. 12, and a plurality of the first semiconductor wafers 1102 can be connected to the diamond composite IC wafer 1200′ by micro-bump or copper hybrid bond.

In another embodiment, at least one diamond containing interposer 1203 and at least one first semiconductor wafers 1102 of FIG. 13 may be bonded to the diamond composite IC wafer 1200′ of FIG. 21C to form the diamond composite IC wafer 1300 of FIG. 13.

The diamond composite IC wafer is bonded to the semiconductor wafer through, for example, micro-bump, or copper hybrid bonding based on oxide-to-oxide bonding or polyimide (PI)-to-PI bonding. Furthermore, when copper hybrid bonding instead of the traditional micro-bumps is used for interconnection, the packages may be leak-proof in the case of immersion cooling (when a dielectric coolant is used) using oxide-to-oxide based copper hybrid bonding. For copper hybrid bonding, one may choose oxide-to-oxide bonding or polyimide (PI)-to-PI bonding for bonding two IC wafers, an IC wafer and an interposer wafer, or a diamond wafer and an IC wafer using silicon dioxide (SiO2) or PI as the BEOL dielectric/bonding layers.

Direct oxide-to-oxide bonding proceeds generally in the following process sequence: (1) formation of dangling bonds and bonding between hydroxyl groups and water molecules through plasma activation using gases such as O2 (oxygen)/N2 (nitrogen)/Ar (argon); (2) removal of defects through deionized water cleaning and scrubbing; (3) bonding of wafers (or wafer and wafer-sized interposer) with oxide bonding layers at room temperature and atmospheric pressure via van der Waals hydrogen bonds between two to three monolayers of water molecules and polar hydroxyl (OH) groups (which terminate at both the native and thermal SiO2); (4) formation of van der Waals bonds between H2O molecules and silanol groups (Si—OH—(H2O)x-HO—Si; silanol group ═Si—OH) on the top and bottom wafer surfaces; and (5) annealing to remove water molecules at the interface and form covalent bonds at temperatures typically less than 400° C. Void formation caused by water droplet formation (the Joule-Thomason expansion effect) at wafer edge during direct bonding must be avoided by controlling key parameters such as plasma conditions, surface roughness, degree of cleanliness, wafer warpage/flatness and bonding conditions. In the case of oxide-to-oxide bonding, one may also vary oxide type and deposition technique, process conditions such as plasma gas, plasma power, surface roughness pertaining to chemical mechanical polish (CMP), surface cleanliness, mono- to multiple layers of water molecules from de-ionized cleaning, bonding conditions (such as temperature and speed), and anneal conditions (e.g., anneal temperatures, anneal time and number of annealing steps) to maximize the bonding yield and shear strength between two wafers.

Regarding PI-to-PI bonding using fully cured polyimide-to-fully cured polyimide bonding based on pyromellitic dianhydride (PMDA) and 4,4′-diaminodiphenyl ether (4,4′-ODA) as an example, one may maximize the shear strength by varying conditions such as volume of water introduced, bonding time, and oxygen (O2) plasma activation time. To achieve void-free PI-to-PI bonding, it is important to activate the PI surfaces by oxygen plasma activation to generate low-density hydrophilic groups on the PI surface which effectively enhances adsorption of water molecules introduced by a de-ionized water wetting process. The adsorbed water molecules, in turn, brings in considerable high-density OH (hydroxyl) groups which facilitate pre-bonding Following PI surface activation and wetting, PI-to-PI hybrid bonding may take place at a relative low temperature of 250° C. for a few minutes only when a permanent bond is desired. Neither the plasma process nor the wetting or hydration process alone may achieve good bonding. Key parameters to manipulate in order to achieve good bond yield include plasma activation time, volume of water introduced, bonding temperature and bonding time.

Oxide-to-oxide hybrid bonding requires high component flatness and surface cleanliness to avoid electrical interconnection fails due to silicon dioxide's high hardness and poor deformation characteristics. Compared to the conventional oxide-to-oxide hybrid bonding, PI-to-PI bonding which may require application of an external pressure during bonding allows for higher surface roughness and is more tolerant of component flatness due to the low modulus and more compliant characteristics of the PIs.

The explosion in data is driving extraordinary growth in internet traffic and cloud services. Silicon photonics will take the center stage in defining new mega data center architectures in order to manage the unabated growth in data traffic. New microelectronics packaging technologies are required to address optical-to-electrical interconnection as photon and electron exchanges move from optical transceivers mounted at the edge of server boards (in server racks inside mega data centers) to IC packages and logic chips inside these computing systems. Optics has traditionally been deployed to transmit data over long distances because light may carry considerably more information content (bits) at faster speed and light is more energy efficient compared to electronic alternatives to transmit data when the transmission length and bandwidth increases. Optical transceivers represent the initial high volume application for silicon photonics starting from 100G as optics migrates as close as possible to the source of the data, resulting in large distances between the optical components and the processor chip. Using the silicon interposer based optical module as an example, its performance may be greatly enhanced by replacing the silicon interposer with a silicon-diamond bi-wafer interposer containing backside power supply and both optical waveguides and active and passive functions in silicon. Using a combination of photolithography, reactive ion etching (RIE) and focused ion beam techniques, one may also fabricate in the diamond portion of the bi-wafer an optical chip that integrates function elements such as X-crossings, Y-functions, evanescent couplers, Bragg reflectors/couplers and various interferometers. As the need for higher data transfer speeds at greater baud rates and lower power levels intensifies, the trend is for optics to be moved even closer to the die. To this end, optoelectronic interconnect will need to be designed to interface directly to the processor, whether it be application specific integrated circuit (ASIC) or FPGA, to support switching, transceiver, signal conditioning and multiplexer/demultiplexer applications. This requires co-packaging of the optical module based on a silicon interposer with processor such as silicon based ASIC/FPGA/CPU (or its chiplets) flip chip bonded to a laminate substrate. As in the case of silicon-diamond bi-wafer enhanced optical module, the performance of this more complex SiP may be greatly enhanced by mounting the bi-wafer enabled optical module and the bi-wafer enabled ASIC/FPGA/CPU (with a diamond heat spreader attached) on a diamond or silicon-diamond interposer as shown by a bottom structure.

FIGS. 22A to 22C are schematic diagrams of manufacturing processes of the diamond composite IC wafer 1200 of FIG. 12 according to another embodiment of the present disclosure.

As shown in FIG. 22A, the first semiconductor wafer 1102 with the predetermined diameter D2 are prepared, wherein the first semiconductor wafer 1102 includes a set of IC circuits on a first side of the first semiconductor wafer 1102. Then, a plurality of the diamond blocks 110 each having the dimension D1 are prepared, and then are attached on the first semiconductor wafer 1102.

Before bonding, it can be advantageous that diamond is deposited with a surface layer that forms chemical bonds with diamond such as Ti or W, and a bonding layer such as Au or a solder (or a transient liquid phase material), and the backside of silicon deposited with a good diffusion barrier layer such as Ti, TiN, Ti/TiN or Ti/Ni and a bonding layer such as Au or a solder to enable low-temperature bonding at temperatures preferably below 300° C. In addition, the diffusion barrier layer may be formed on a second side of the first semiconductor wafer 1102.

As shown in FIG. 22B, a plurality of the gap G1 among the diamond blocks 110 are filled with spacer material 120′.

The spacer material 120′ may be formed of a material including, for example, filler, molding compound, etc. The filler can be diamond, silicon dioxide (SiO2), silicon nitride (Si3N4), spin-on-glass (SOG), polysilicon, etc., and the filler is formed using deposition, spin coating, molding, etc. The molding compound includes a material, for example, a Novolac-based resin, an epoxy-based resin, a silicone-based resin, or another suitable encapsulant, and suitable fillers such as powdered SiO2. The molding compound may be overmolded on the diamond blocks 110 by using, for example, transfer molding, compression molding, etc.

As shown in FIG. 22C, a portion of the spacer material 120′ is removed to form a plurality of the spacer 120 by using, for example, CMP, DRIE, etc. Each the spacer 120 fills the gap G1 between two adjacent diamond blocks 110. Furthermore, the spacer material 120′ may be planarized to expose the diamond blocks 110 to form the diamond composite wafer 200. After planarization, the spacers 120 each having the upper surface 120u and the diamond blocks 110 each having the upper surface 110u are formed, and the upper surfaces 110u and the upper surfaces 120u flush with each other. After planarization, the first semiconductor wafer 1102, the spacers 120 and the diamond blocks 110 form the diamond composite IC wafer 1200′ of FIG. 13.

Then, at least one first semiconductor wafers 1102 is bonded with diamond blocks to form the diamond composite IC wafer 1200 of FIG. 12 (or the diamond composite IC wafer 1200′ of FIG. 22C), and a plurality of the first semiconductor wafers 1102 can be connected to the diamond composite IC wafer 1200′ and the interposers by micro-bump or copper hybrid bond.

In another embodiment, at least one diamond containing interposer 1203 and at least one first semiconductor wafers 1102 of FIG. 13 may be bonded to the diamond composite IC wafer 1200′ of FIG. 21C to form the diamond composite IC wafer 1300 of FIG. 13.

FIGS. 23A to 23C are schematic diagrams of manufacturing processes of the diamond composite IC wafer 1300 of FIG. 13 according to another embodiment of the present disclosure.

As shown in FIG. 23A, the first semiconductor wafer 1102 with the predetermined diameter D2 are prepared, wherein the first semiconductor wafer 1102 includes a set of IC circuits on a first side of the first semiconductor wafer 1102.

As shown in FIG. 23B, the diamond wafer 1301 with the predetermined diameter D2 and with spacers (not shown) is prepared.

As shown in FIG. 23C, the diamond wafer 1301 is bonded to the first semiconductor wafer 1102 to form the diamond composite IC wafer 1400′.

Then, at least one first semiconductor wafers 1102 is attached to the diamond wafer 1301 of the diamond composite IC wafer 1400′ of FIG. 23C to form the diamond composite IC wafer 1400 of FIG. 14.

In another embodiment, at least one first semiconductor wafers 1102 and at least one diamond containing interposer 1203 of FIG. 15 may be bonded to the diamond composite IC wafer 1400′ of FIG. 23C to form the diamond composite IC wafer 1500 of FIG. 15, wherein a plurality of the first semiconductor wafers 1102 and interposers are connected to each other by micro-bump or copper hybrid bond.

FIGS. 24A to 24G are schematic diagrams of manufacturing processes of a diamond composite IC wafer according to another embodiment of the present disclosure.

As illustrated in FIG. 24A, a plurality of the composite block 800A of FIG. 8 are attached to the temporary carrier 10A with a release layer 20. Each composite block 800A includes the semiconductor block 531 and the diamond layer 810 formed on the semiconductor block 531 by, for example, CVD. In an embodiment, the semiconductor block 531 may be a silicon block cut form a silicon wafer. In another embodiment, the semiconductor block 531 may be a SiC block cut form a SiC wafer.

As illustrated in FIG. 24B, the gaps G1 between composite block 800A are filled with the spacer material 520′.

As shown in FIG. 24C, a portion of the spacer material 520′ is removed to form a plurality of the spacer 520 by using, for example, CMP, DRIE, etc. Each of the spacers 520 fills the gap G1 between two adjacent composite blocks 800A. Furthermore, the spacer material 520′ is planarized to expose the composite block 800A. After planarization, the spacers 520 each having the upper surface 520u and the composite block 800A each having the upper surface 810u are formed, and the upper surface 810u and the upper surface 520u flush with each other.

As shown in FIG. 24D, the temporary carrier 10A and the release layer 20 may be removed from the spacers 520 and the composite blocks 800A.

As shown in FIG. 24E, the structure in FIG. 24D is attached on a semiconductor substrate 230 by the release layer 20.

As shown in FIG. 24F, the semiconductor block 531 of the composite block 800A in FIG. 24E is removed by, for example, a CMP. As shown in FIG. 24G, the semiconductor substrate 230 and the release layer 20 may be removed from the spacers 520 and the diamond layers 810 to form a diamond composite wafer 100′.

In another embodiment, after the process in FIG. 24D, the semiconductor blocks 531 in FIG. 24D may be removed to form the diamond composite wafer 100′ as illustrated in FIG. 24G by, for example, a CMP.

FIGS. 25A to 25G are schematic diagrams of manufacturing processes of a diamond composite IC wafer according to another embodiment of the present disclosure.

As illustrated in FIG. 25A, a plurality of the composite blocks 900A of FIG. 19 are attached to the temporary carrier 10A. Each composite block 900A includes the diamond block 110 and the Si layer 8311 formed on the diamond block 110.

As illustrated in FIG. 25B, the gaps G1 between the composite blocks 900A are filled with the spacer material 520′.

As shown in FIG. 25C, a portion of the spacer material 520′ is removed to form a plurality of the spacer 520 by using, for example, CMP, DRIE, etc. Each of the spacers 520 fills the gap G1 between two adjacent composite blocks 900A. Furthermore, the spacer material 520′ is planarized to expose the composite block 900A. After planarization, the spacers 520 each having the upper surface 520u and the composite block 900A each having the upper surface 110u are formed, and the upper surface 110u and the upper surface 520u flush with each other.

As shown in FIG. 25D, the temporary carrier 10A and the release layer 20 may be removed from the spacers 520 and the composite blocks 900A.

As shown in FIG. 25E, the structure in FIG. 25D is attached on the semiconductor substrate 230 by the release layer 20.

As shown in FIG. 25F, the Si layer 8311 of the composite block 900A is removed by, for example, a CMP.

As shown in FIG. 25G, the semiconductor substrate 230 and the release layer 20 may be removed from the spacers 520 and the diamond blocks 110 to form a diamond composite wafer 100″.

In another embodiment, after the process in FIG. 25D, the Si layer 8311 of the composite block 900A is removed by, for example, a CMP to form the diamond composite wafer 100″ as illustrated in FIG. 25G.

As described above, a manufacturing method of a diamond composite wafer is provided. In an embodiment, diamond composite wafer includes at least one diamond block formed of diamond material. Accordingly, the diamond composite wafer has good theoretical semiconductor performance characteristics and good cooling performance.

In addition, the diamond composite wafer as aforementioned above could be integrated into a wafer IC.

FIG. 26 is a schematic diagram of a wafer IC 100A made of diamond composite wafers (or a diamond composite wafer) according to an embodiment of the present disclosure. The wafer IC 100A includes a diamond composite wafer 100A1, a first RDL 100A2, a second RDL 100A3, at least one through via 100A4, at least one first conductive pad 100A5 and at least one second conductive pad 100A6. The through vias 100A4 are formed within the diamond composite wafer 100A1. The first RDL 100A2 is formed on a first side S1 of the diamond composite wafer 100A1. The second RDL 100A3 is formed on a second side S2 of the diamond composite wafer 100A1.

As illustrated in FIG. 26, the diamond composite wafer 100A1 includes the structure the same as or similar to those of the diamond composite wafer 100, 100′, 100″, 200, 400, 500, 700, 800, 900 or 1000. The diamond composite wafer 100A1 includes a diamond layer 100A11 and a semiconductor substrate 100A12. The diamond layer 100A11 includes the structure the same as or similar to those of the diamond block of the diamond composite wafer, and the semiconductor substrate 100A12 includes the structure the same as or similar to these of the semiconductor substrate of the diamond composite wafer.

Although not illustrated, a circuit structure (for example, wafer front-end-of-line (FEOL) layer and/or wafer back-end-of-line (BEOL) layer) could be formed on the semiconductor substrate 100A12 of the diamond composite wafer 100A1.

As illustrated in FIG. 26, the through vias 100A4 are, for example, TSDVs. The through vias 100A4 could be formed of a conductive material, such as copper. The through vias 100A4 extend to the second side S2 of the diamond composite wafer 100A1 from the first side S1 of the diamond composite wafer 100A1, and electrically connect the first RDL 100A2 and the second RDL 100A3.

As illustrated in FIG. 26, the first conductive pad 100A5 and the second conductive pad 100A6 are, for example, metal pad such as copper pad or metal pads with micro-bumps. The wafer IC 100A could be electrically connected to a circuit through the first conductive pad 100A5 and the second conductive pad 100A6.

FIG. 27 is a schematic diagram of a wafer IC 200A according to another embodiment of the present disclosure. The wafer IC 200A includes a diamond composite wafer 200A1, the first RDL 100A2, the second RDL 100A3, at least one through via 100A4, at least one first conductive pad 100A5 and at least one second conductive pad 100A6. The through vias 100A4 are formed within the diamond composite wafer 200A1. The first RDL 100A2 is formed on a first side S1 of the diamond composite wafer 200A1. The second RDL 100A3 is formed on a second side S2 of the diamond composite wafer 200A1.

As illustrated in FIG. 27, the diamond composite wafer 200A1 includes the structure the same as or similar to those of the diamond composite wafer 100. The diamond composite wafer 200A1 is, for example, a diamond layer including the structure the same as or similar to these of the diamond block of the diamond composite wafer. Although not illustrated, a circuit structure (for example, wafer front-end-of-line (FEOL) layer and/or wafer back-end-of-line (BEOL) layer) could be formed on the diamond composite wafer 200A1.

Through vias in FIGS. 26 and 27 can provide the functions of electrical vias, optical vias, thermal vias and/or fluidic vias, whereas RDL can serve the purposes of not just electrical interconnection but also optical waveguide functions. Complex fluidic micro-channels for enhanced cooling can also be created by a combination of MEMS, wafer BEOL and advanced packaging technologies.

To create the diamond interposers in FIG. 27 from full-sized diamond wafers, one can begin with a diamond composite substrate (for instance, ˜100 μm thick, about the same thickness as a 2.5D silicon interposer) and subject it to deep reactive ion etching (DRIE; or the Bosch process) utilizing oxygen as the etch gas (and other heavier gases such as CF4) and a mask such as aluminum/silicon dioxide, aluminum/silicon/aluminum, or stainless steel to create the high-aspect ratio through diamond vias, TDVs (e.g., thousands of them of 20 μm in diameter at an aspect ratio of 5) at high etch rates. Other mask choices that can be considered include aluminum, titanium, gold, chromium, silicon dioxide, aluminum oxide, photoresist and/or spin-on-glass. The etch mask material needs to be etched slower than diamond in DRIE with high selectivity. Ultra-short-pulse (e.g., femtosecond-pulsed) laser micromachining can also be used without the mask or in conjunction with DRIE to create TDV holes for improved etch performance. A combination of DRIE and epitaxial deposition can create ultra-high-aspect-ratio (up to 500) trenches in silicon. It may also be fashioned after to create ultra-high-aspect-ratio TDVs.

Following TDV hole opening, one can proceed to follow the standard 2.5D silicon interposer process flow starting from plasma enhanced chemical vapor deposition (PECVD) of oxide, and physical vapor deposition (PVD) of barrier/seed titanium/copper (Ti/Cu) or tantalum nitride/Cu (TaN/Cu) by sputtering to copper plating to fill the TDVs to chemical mechanical polish (CMP) to remove the overburden Cu and then to frontside (chip-side) μm-level fine-line RDL and under-bump metallurgy (UBM) processing. This is followed by post-TSV processes from carrier bond to wafer thinning to backside RDL and UBM to ball placement to die tape attach to carrier de-bond to dicing to singulate the interposer.

For full-sized silicon-diamond bi-wafers (and also tri-wafers), one can first follow the above diamond interposer process to create the TDVs in the silicon-diamond bi-wafer (or the silicon-diamond-silicon tri-wafer), followed by DRIE of silicon using fluorinated gases such as CF4, SF8 or xenon difluoride (i.e., the Bosch etch process) as the etch gas to create the through silicon vias (TSVs) on where the TDVs are with the assistance of alignment marks, forming the through diamond-silicon vias (TDSVs). Subsequently, one can resume the balance of the above diamond interposer process starting from the PECVD and PVD step to dicing. The process to create TDSV containing interposers can be used to create similar structures in active devices and interposers using bi-wafers or tri-wafers as the substrates.

With the use of 3D laser lithography, one can also form 3D micro-structures on the planar diamond or silicon-diamond bi-wafer interposer substrates or dies using greyscale photolithography which is a method to create 3D structures in the mask (e.g., photoresist, metal, oxide and their combinations), and transfer them through dry anisotropic etching to the substrate or die. In planar technologies used in for instance MEMS fabrication that involving the creation of MEMS microstructures in silicon (Si), usually only one exposure dose is applied. In greyscale photolithography, the exposure UV light intensity needs to be controlled and several methods such as multiple-step exposure, pixelated mask exposure and direct writing can be used to create the 3D structures.

FIGS. 28A to 28E are schematic diagrams of manufacturing processes of the wafer IC 100A of FIG. 26 according to an embodiment of the present disclosure.

As shown in FIG. 28A, a diamond composite wafer 100A1 is provided. The diamond composite wafer 100A1 includes the structure the same as or similar to those of the diamond composite wafer 200, 400, 500, 700, 800, 900 or 1000. The diamond composite wafer 100A1 includes the diamond layer 100A11 and the semiconductor substrate 100A12. The diamond layer 100A11 includes the structure the same as or similar to those of the diamond block of the diamond composite wafer, and the semiconductor substrate 100A12 includes the structure the same as or similar to those of the semiconductor substrate of the diamond composite wafer. In an embodiment, although not illustrated, a circuit structure (for example, wafer front-end-of-line (FEOL) layer and/or wafer back-end-of-line (BEOL) layer) could be formed on the semiconductor substrate 100A12 of the diamond composite wafer 100A1.

The diamond composite wafer 100A1 has the first side S1 and a second side S2′ opposite to the first side S1.

As shown in FIG. 28B, a plurality of the through vias 100A4 extending from the first side S1 of the diamond composite wafer 100A1 toward the second side S2′ of the diamond composite wafer 100A1. Then, the first RDL 100A2 is formed on the first side S1 of the diamond composite wafer 100A1. Then, at least one first conductive pad 100A5 are formed on the first RDL 100A2.

As shown in FIG. 28C, the structure of FIG. 28B is inverted and bonded to a temporary carrier with the use of a release layer (not shown) to expose the second side S2′.

As shown in FIG. 28D, a portion of the diamond layer 100A11 is removed to form the new second side S2 and a portion of the through via 100A4 is removed to form an terminal surface 100A41 by, for example, a CMP, wherein the second side S2 of the diamond layer 100A11 and the terminal surface 100A41 of the through via 100A4 flush with each other.

As shown in FIG. 28E, the second RDL 100A3 is formed on the second side S2 of the diamond composite wafer 100A1. Then, at least one second conductive pad 100A6 are formed on the second RDL 100A3.

Then, the temporary carrier 10D is released to expose the first RDL 100A2 and form the wafer IC 100A of FIG. 26. In an embodiment, the wafer IC 100A could be singulated or diced to form at least one singulated wafer IC.

FIGS. 29A to 29E are schematic diagrams of manufacturing processes of the wafer IC 100A of FIG. 27 according to another embodiment of the present disclosure.

As shown in FIG. 29A, a diamond composite wafer 100A1′ is provided. The diamond composite wafer 100A1′ includes the structure the same as or similar to those of the diamond composite wafer 300 or 600. The diamond composite wafer 100A1′ includes the diamond layer 100A11, the semiconductor substrate 100A12 and the semiconductor substrate 100A13. The diamond layer 100A11 includes the structure the same as or similar to those of the diamond block of the diamond composite wafer, the semiconductor substrates 100A12 and 100A13 include the structure the same as or similar to those of the semiconductor substrate of the diamond composite wafer. In an embodiment, although not illustrated, a circuit structure (for example, FEOL/BEOL layers) could be formed on the semiconductor substrate 100A13 or 100A12 of the diamond composite wafer 100A1′.

The diamond composite wafer 100A1′ has the first side S1 and a second side S2′ opposite to the first side S1.

As shown in FIG. 29B, a plurality of the through vias 100A4 extending from the first side S1 of the diamond composite wafer 100A1 toward the second side S2′ of the diamond composite wafer 100A1. Then, the first RDL 100A2 is formed on the first side S1 of the diamond composite wafer 100A1. Then, at least one first conductive pad 100A5 are formed on the first RDL 100A2.

As shown in FIG. 29C, the structure of FIG. 29B is inverted and bonded to a new temporary carrier on the first RDL 100A2 exposing the surface S2′.

As shown in FIG. 29D, a portion of the diamond layer 100A11 is removed to form the second side S2 and a portion of the through via 100A4 is removed to form a terminal surface 100A41 by, for example, a CMP, wherein the second side S2 of the diamond layer 100A11 and the terminal surface 100A41 of the through via 100A4 flush with each other.

As shown in FIG. 29E, the second RDL 100A3 is formed on the second side S2 of the diamond composite wafer 100A1′. Then, at least one second conductive pad 100A6 are formed on the second RDL 100A3.

Then, the temporary carrier 10D is released to expose the first RDL 100A2 and form the wafer IC 100A of FIG. 26. In an embodiment, the wafer IC 100A could be singulated or diced to form at least one singulated wafer IC.

FIGS. 30A to 30E are schematic diagrams of manufacturing processes of the wafer IC 200A of FIG. 27 according to an embodiment of the present disclosure.

As shown in FIG. 30A, the diamond composite wafer 200A1 is provided. The diamond composite wafer 200A1 includes the structure the same as or similar to those of the diamond composite wafer 100. The diamond composite wafer 200A1 has a first side S1 and a second side S2′ opposite to the first side S1. In an embodiment, although not illustrated, a circuit structure (for example, FEOL/BEOL) could be formed on the diamond composite wafer 200A1.

As shown in FIG. 30B, a plurality of the through vias 100A4 extending from the first side S1 of the diamond composite wafer 200A1 towards the second side S2′ of the diamond composite wafer 200A1. Then, the first RDL 100A2 is formed on the first side S1 of the diamond composite wafer 200A1. Then, at least one first conductive pad 100A5 is formed on the first RDL 100A2.

As shown in FIG. 30C, the structure of FIG. 30B is inverted and bonded to a new temporary carrier with the S2′ surface exposed.

As shown in FIG. 30D, a portion of the diamond composite wafer 200A1 is removed to form the second side S2 and a portion of the through via 100A4 is removed to form a terminal surface 100A41 by, for example, a CMP, wherein the second side S2 of the diamond composite wafer 200A1 and the terminal surface 100A41 of the through via 100A4 flush with each other.

As shown in FIG. 30E, the second RDL 100A3 is formed on the second side S2 of the diamond composite wafer 200A1. Then, at least one second conductive pad 100A6 are formed on the second RDL 100A3.

Then, the temporary carrier 10D is released to expose the first RDL 100A2 and form the wafer IC 200A of FIG. 27. In an embodiment, the wafer IC 200A could be singulated or diced to form at least one singulated wafer IC.

It will be apparent to those skilled in the art that various modifications and variations could be made to the disclosed embodiments. It is intended that the specification and examples be considered as exemplary only, with a true scope of the disclosure being indicated by the following claims and their equivalents.

Claims

1. A method to process a diamond composite wafer, comprising:

(a) forming a plurality of through vias in the diamond composite wafer and a first re-distribution layer on a firs side of the diamond composite wafer;
(b) attaching a temporary carrier to the first re-distribution layer, and forming a second re-distribution layer on a second side of the diamond composite wafer;
(c) releasing the temporary carrier to form a circuit containing diamond composite wafer.

2. The method in claim 1, wherein the diamond composite wafer comprises a semiconductor substrate with a predetermined diameter and a plurality of diamond blocks on the semiconductor substrate.

3. The method in claim 2, wherein the semiconductor substrate comprises a plurality of semiconductor blocks consolidated into the predetermined diameter.

4. The method in claim 2, further comprising: forming a plurality of IC circuits in the semiconductor substrate.

5. The method in claim 2, wherein the first re-distribution layer is formed on the semiconductor substrate, and the second re-distribution layer is formed on the plurality of diamond blocks.

6. The method in claim 2, further comprising:

dicing the circuit containing diamond composite wafer into a plurality of circuit containing composite block, each circuit containing composite block comprising one of the diamond blocks and a semiconductor block diced from the semiconductor substrate.

7. The method in claim 1, wherein each of the plurality of through vias comprises an electrical via, an optical via, a thermal via and/or a fluidic via.

8. The method in claim 1, wherein the first re-distribution layer and/or the second re-distribution layer comprises an electrical interconnection or an optical waveguide.

9. The method in claim 1, wherein the diamond composite wafer comprises a first semiconductor substrate with a predetermined diameter, a plurality of diamond blocks on the first semiconductor substrate, and a second semiconductor substrate with the predetermined diameter on the first semiconductor substrate.

10. The method in claim 9, wherein the first re-distribution layer is formed on the first semiconductor substrate, and the second re-distribution layer is formed on the second semiconductor substrate.

11. The method in claim 9, further comprising:

dicing the circuit containing diamond composite wafer into a plurality of circuit containing composite block, each circuit containing composite block comprising one of the diamond blocks, a first semiconductor block diced from the first semiconductor substrate, and a second semiconductor block diced from the second semiconductor substrate.

12. The method in claim 1, wherein the diamond composite wafer comprises a plurality of diamond blocks consolidated into a predetermined diameter.

13. The method in claim 12, wherein the first re-distribution layer and the second re-distribution layer are formed on different sides of the plurality of diamond blocks consolidated with the predetermined diameter.

14. The method in claim 12, further comprising:

dicing the circuit containing diamond composite wafer into a plurality of circuit containing composite block, each circuit containing composite block comprising one of the diamond blocks.
Patent History
Publication number: 20240047192
Type: Application
Filed: Aug 8, 2023
Publication Date: Feb 8, 2024
Applicants: nD-HI Technologies Lab,Inc. (Taipei City), ETRON TECHNOLOGY, INC. (Hsinchu)
Inventors: Ho-Ming TONG (Taipei City), Wei YEN (Taipei City), Chao-Chun LU (Hsinchu)
Application Number: 18/231,547
Classifications
International Classification: H01L 21/02 (20060101); H01L 21/683 (20060101);