Patents Issued in October 21, 2008
  • Patent number: 7439137
    Abstract: In a MOSFET, after an element region is formed, a wiring layer is formed subsequently to a barrier metal layer, and hydrogen annealing is performed. However, in the case of an n-channel MOSFET, a threshold voltage is lowered due to an occlusion characteristic of the barrier metal layer. Thus, an increased impurity concentration in a channel layer causes a problem that reduction in an on-resistance is inhibited. According to the present invention, after a barrier metal layer is formed, an opening is provided in the barrier metal layer on an interlayer insulating film, and hydrogen annealing treatment is performed after a wiring layer is formed. Thus, an amount of hydrogen which reaches a substrate is further increased, and lowering of a threshold voltage is suppressed. Moreover, since an impurity concentration in a channel layer can be lowered, an on-resistance is reduced.
    Type: Grant
    Filed: May 6, 2005
    Date of Patent: October 21, 2008
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Hiroyasu Ishida, Hirotoshi Kubo, Shouji Miyahara, Masato Onda
  • Patent number: 7439138
    Abstract: The invention includes methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors. In one implementation, conductive metal silicide is formed on some areas of a substrate and not on others. In one implementation, conductive metal silicide is formed on a transistor source/drain region and which is spaced from an anisotropically etched sidewall spacer proximate a gate of the transistor.
    Type: Grant
    Filed: July 31, 2006
    Date of Patent: October 21, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Kunal R. Parekh, John K. Zahurak
  • Patent number: 7439139
    Abstract: A fully depleted castellated-gate MOSFET device is disclosed along with a method of making the same. The device has robust I/O applications, and includes a semiconductor substrate body having an upper portion with an upper end surface and a lower portion with a lower end surface. A source region, a drain region, and a channel-forming region between the source and drain regions are all formed in the semiconductor substrate body. Trench isolation insulator islands surround the source and drain regions as well as the channel-forming region. The channel-forming region is made up of a plurality of thin, spaced, vertically-orientated conductive channel elements that span longitudinally along the device between the source and drain regions. A gate structure is also provided in the form of a plurality of spaced, castellated gate elements interposed between the channel elements.
    Type: Grant
    Filed: March 23, 2007
    Date of Patent: October 21, 2008
    Inventor: John J. Seliskar
  • Patent number: 7439140
    Abstract: Wells are formed in a substrate where standard Vt and low Vt devices of both a first and second type are to be fabricated. Wells defining the locations of first type standard Vt devices are masked, and a first voltage threshold implant adjustment is performed within wells defining the second type standard Vt devices, and each of the first and second type low Vt devices. Wells that define the locations of second type standard Vt devices are masked, and a second voltage threshold implant adjustment is performed to the wells defining the first type standard Vt devices, and each of the first and second type low Vt devices. Doped polysilicon gate stacks are then formed over the wells. Performance characteristics and control of each device Vt is controlled by regulating at least one of the first and second voltage threshold implant adjustments, and the polysilicon gate stack doping.
    Type: Grant
    Filed: December 4, 2006
    Date of Patent: October 21, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Mark Helm, Xianfeng Zhou
  • Patent number: 7439141
    Abstract: A method for performing shallow trench isolation during semiconductor fabrication that improves trench corner rounding is disclosed. The method includes etching trenches into a silicon substrate between active regions, and performing a double liner oxidation process on the trenches. The method further includes performing a double sacrificial oxidation process on the active regions, wherein corners of the trenches are substantially rounded by the four oxidation processes.
    Type: Grant
    Filed: October 22, 2002
    Date of Patent: October 21, 2008
    Assignee: Spansion, LLC
    Inventors: Unsoon Kim, Yu Sun, Hiroyuki Kinoshita, Kuo-Tung Chang, Harpreet K. Sachar, Mark S. Chang
  • Patent number: 7439142
    Abstract: In one embodiment, a method for forming a silicon-based material on a substrate having dielectric materials and source/drain regions thereon within a process chamber is provided which includes exposing the substrate to a first process gas comprising silane, methylsilane, a first etchant, and hydrogen gas to deposit a first silicon-containing layer thereon. The first silicon-containing layer may be selectively deposited on the source/drain regions of the substrate while the first silicon-containing layer may be etched away on the surface of the dielectric materials of the substrate. Subsequently, the process further provides exposing the substrate to a second process gas comprising dichlorosilane and a second etchant to deposit a second silicon-containing layer selectively over the surface of the first silicon-containing layer on the substrate.
    Type: Grant
    Filed: October 9, 2006
    Date of Patent: October 21, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Arkadii V. Samoilov, Yihwan Kim, Errol Sanchez, Nicholas C. Dalida
  • Patent number: 7439143
    Abstract: Disclosed is a flash memory device. The flash memory device includes a plurality of trench lines in an isolation region of a semiconductor device, a common source region along a word line (WL) direction under a surface portion of the semiconductor substrate, a plurality of gate lines along a vertical direction of the trench line, a drain region on an opposite side of the gate line to the common source region, a drain contact over the drain region, and a uniform by-product layer on the common source region.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: October 21, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Hyun Ju Lim
  • Patent number: 7439144
    Abstract: A sidewall image transfer process for forming sub-lithographic structures employs a layer of sacrificial polymer containing silicon that is deposited over a gate conductor layer and covered by a cover layer. The sacrificial polymer layer is patterned with conventional resist and etched to form a sacrificial mandrel. The edges of the mandrel are oxidized or nitrided in a plasma at low temperature, after which the polymer and the cover layer are stripped, leaving sublithographic sidewalls. The sidewalls are used as hardmasks to etch sublithographic gate structures in the gate conductor layer.
    Type: Grant
    Filed: February 16, 2006
    Date of Patent: October 21, 2008
    Assignee: International Business Machines Corporation
    Inventors: Bruce B. Doris, Toshiharu Furukawa, Mark C. Hakey, Steven J. Holmes, David V. Horak, Charles W. Koburger, III
  • Patent number: 7439145
    Abstract: A diode structure fabrication method. In a P? substrate, an N+ layer is implanted. The N+ layer has an opening whose size affects the breakdown voltage of the diode structure. Upon the N+ layer, an N? layer is formed. Then, a P+ region is formed to serve as an anode of the diode structure. An N+ region can be formed on the surface of the substrate to serve as a cathode of the diode structure. By changing the size of the opening in the N+ layer during fabrication, the breakdown voltage of the diode structure can be changed (tuned) to a desired value.
    Type: Grant
    Filed: September 1, 2006
    Date of Patent: October 21, 2008
    Assignee: International Business Machines Corporation
    Inventor: Steven H. Voldman
  • Patent number: 7439146
    Abstract: An integrated circuit includes a field plated resistor having enhanced area thereover for routing metal conductors, formed in the same layer of metal as forms contacts to the resistor, is fabricated by a sequence of processing steps. A resistor having a resistor body and a contact region at each end thereof is formed in an active region of a semiconductor substrate. A first layer of insulative material is formed over the resistor and a window is created through the first layer of insulative material to the resistor body to form a first contact region. A layer of polysilicon is formed over the first insulative layer to define a field plate, the polysilicon field plate being contiguous with the first contact region of the resistor and extending over the resistor body to substantially to the other contact region, as layout, design, and fabrication rules permit. A second insulative layer is formed over the polysilicon layer.
    Type: Grant
    Filed: August 30, 2000
    Date of Patent: October 21, 2008
    Assignee: Agere Systems Inc.
    Inventor: Thomas J. Krutsick
  • Patent number: 7439147
    Abstract: A resistor for a semiconductor device is provided. The resistor can include a first polysilicon layer formed on a semiconductor substrate; an insulating layer formed on regions of the first polysilicon layer; a second polysilicon layer formed on the insulating layer; and a contact electrically connected to the first polysilicon layer and the second polysilicon layer. The portions of the first polysilicon layer that do not have the insulating layer formed thereupon have a higher impurity ion concentration than that of the regions on which the insulating layer is formed.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: October 21, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Woong Je Sung
  • Patent number: 7439149
    Abstract: A method of forming a trench memory cell includes forming a trench capacitor within a substrate material, the trench capacitor including a node dielectric layer formed within a trench and a conductive capacitor electrode material formed within the trench in contact with the node dielectric layer; forming a strap mask so as cover one side of the trench and removing one or more materials from an uncovered opposite side of the trench; and forming a conductive buried strap material within the trench; wherein the strap mask is patterned in a manner such that a single-sided buried strap is defined within the trench, the single-sided buried strap configured in a manner such that the deep trench capacitor is electrically accessible at only one side of the trench.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: October 21, 2008
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Ramachandra Divakaruni, Herbert L. Ho, Geng Wang
  • Patent number: 7439150
    Abstract: In one embodiment, to fabricate a semiconductor device, a first insulation interlayer is formed on a substrate. A contact pad is formed through the first insulation interlayer. An etch stop layer and a second insulation interlayer are sequentially formed on the first insulation interlayer and the pad. A contact hole exposing at least a portion of the contact pad is formed by partially etching the second insulation interlayer and the etch stop layer. A preliminary lower electrode is formed in the hole. The preliminary lower electrode is isotropically etched to form a lower electrode contacting the contact pad. A dielectric layer and an upper electrode are sequentially formed on the lower electrode.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: October 21, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Shin-Hye Kim, Ju-Bum Lee, Min Kim
  • Patent number: 7439151
    Abstract: A method for integrating the formation of metal-insulator-metal (MIM) capacitors within dual damascene processing includes forming a lower interlevel dielectric (ILD) layer having a lower capacitor electrode and one or more lower metal lines therein, the ILD layer having a first dielectric capping layer formed thereon. An upper ILD layer is formed over the lower ILD layer, and a via and upper line structure are defined within the upper ILD layer. The via and upper line structure are filled with a planarizing layer, followed by forming and patterning a resist layer over the planarizing layer. An upper capacitor electrode structure is defined in the upper ILD layer corresponding to a removed portion of the resist. The via, upper line structure and upper capacitor electrode structure are filled with conductive material, wherein a MIM capacitor is defined by the lower capacitor electrode, first dielectric capping layer and upper capacitor electrode structure.
    Type: Grant
    Filed: September 13, 2006
    Date of Patent: October 21, 2008
    Assignee: International Business Machines Corporation
    Inventors: Douglas D. Coolbaugh, Timothy J. Dalton, Ebenezer Eshun, Vincent J. McGahay, Anthony K. Stamper, Kunal Vaed
  • Patent number: 7439152
    Abstract: The invention includes methods of forming a plurality of capacitors. In one implementation, a plurality of capacitor electrode openings is formed over a substrate. Individual of the capacitor electrode openings are bounded on a first pair of opposing sides by a first capacitor electrode-forming material at one elevation and on a second pair of opposing sides by a different second capacitor electrode-forming material at the one elevation. Individual capacitor electrodes are formed within individual of the capacitor electrode openings. The capacitor electrodes are incorporated into a plurality of capacitors. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: October 21, 2008
    Assignee: Micron Technology, Inc.
    Inventor: H. Montgomery Manning
  • Patent number: 7439153
    Abstract: A structure is adopted for a layout of an SRAM cell which provides a local wiring 3a between a gate 2a and gate 2b and connects an active region 1a and an active region 1b. This eliminates the necessity for providing a contact between the gate 2a and the gate 2b. Therefore, it is possible to reduce the size of a memory cell region C in a short side direction. Furthermore, a structure whereby a left end of a gate 2c is retreated from the gate 2a and a local wiring 3b which connects the active region 1b and gate 2c disposed in a diagonal direction is adopted. This allows the gate 2a to be shifted toward the center of the memory cell region C.
    Type: Grant
    Filed: October 3, 2006
    Date of Patent: October 21, 2008
    Assignee: Renesas Technology Corp.
    Inventors: Nobuo Tsuboi, Motoshige Igarashi
  • Patent number: 7439154
    Abstract: A method for fabricating an interconnect structure is described. A substrate with a conductive part thereon is provided, a first porous low-k layer is formed on the substrate, and then a first UV-curing step is conducted. A damascene structure is formed in the first porous low-k layer to electrically connect with the conductive part, and then a first UV-absorption layer is formed on the first porous low-k layer and the damascene structure. A second porous low-k layer is formed on the first UV-absorption layer, and a second UV-curing step is conducted.
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: October 21, 2008
    Assignee: United Microelectronics Corp.
    Inventors: Feng-Yu Hsu, Chih-Chien Liu, Jim-Jey Huang, Jei-Ming Chen
  • Patent number: 7439155
    Abstract: Isolation methods and devices for isolating regions of a semiconductor device. The isolation method and structure include forming an isolating trench in an active area and filling the trench with a doped conductive material containing silicon. Suitable conductive materials containing silicon include polysilicon and silicon-germanium. There is also provided a method and structure for isolating the regions by providing a trench in an active area of a substrate, growing an epitaxial layer in the trench to fill the trench or to partially fill the trench and depositing an insulating material over the epitaxial layer and within the trench to completely fill the trench.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: October 21, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Chandra Mouli, Howard Rhodes
  • Patent number: 7439156
    Abstract: A semiconductor device and method of manufacturing the same. The method includes: forming a trench in a silicon substrate; forming a first insulating film on a surface of the silicon substrate, the surface including an interior wall of the trench; forming a polysilicon film which plugged in the trench and covered on an entire surface of the silicon substrate; forming a second insulating film with oxidizing a portion of the polysilicon film disposed outside of the trench, and oxidizing a surface region of the silicon substrate located beneath the first insulating film disposed outside of the trench and a surface region of the polysilicon film in the trench; and forming an embedded polysilicon layer by removing the second insulating film so that the surface of the silicon substrate is partially exposed and the polysilicon film partially remains in the trench.
    Type: Grant
    Filed: April 17, 2006
    Date of Patent: October 21, 2008
    Assignee: NEC Electronics Corporation
    Inventor: Kinya Ohtani
  • Patent number: 7439157
    Abstract: A method includes removing a portion of a substrate to define an isolation trench; forming a first dielectric layer on exposed surfaces of the substrate in the trench; forming a second dielectric layer on at least the first dielectric layer, the second dielectric layer containing a different dielectric material than the first dielectric layer; depositing a third dielectric layer to fill the trench; removing an upper portion of the third dielectric layer from the trench and leaving a lower portion covering a portion of the second dielectric layer; oxidizing the lower portion of the third dielectric layer after removing the upper portion; removing an exposed portion of the second dielectric layer from the trench, thereby exposing a portion of the first dielectric layer; and forming a fourth dielectric layer in the trench covering the exposed portion of the first dielectric layer.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: October 21, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Zailong Bian, John Smythe, Janos Fucsko, Michael Violette
  • Patent number: 7439158
    Abstract: One aspect of this disclosure relates to a method for forming a wafer with a strained semiconductor. In various embodiments of the method, a predetermined contour is formed in one of a semiconductor membrane and a substrate wafer. The semiconductor membrane is bonded to the substrate wafer and the predetermined contour is straightened to induce a predetermined strain in the semiconductor membrane. In various embodiments, a substrate wafer is flexed into a flexed position, a portion of the substrate wafer is bonded to a semiconductor layer when the substrate wafer is in the flexed position, and the substrate wafer is relaxed to induce a predetermined strain in the semiconductor layer. Other aspects and embodiments are provided herein.
    Type: Grant
    Filed: July 21, 2003
    Date of Patent: October 21, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Joseph E. Geusic, Salman Akram
  • Patent number: 7439159
    Abstract: A method of fabricating a semiconductor-on-insulator device including: providing a first semiconductor wafer having an about 200 angstrom thick oxide layer thereover; etching the first semiconductor wafer to raise a pattern therein; doping the raised pattern of the first semiconductor wafer through the about 200 angstrom thick oxide layer; providing a second semiconductor wafer having an oxide thereover; and, bonding the first semiconductor wafer oxide to the second semiconductor wafer oxide at an elevated temperature.
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: October 21, 2008
    Assignee: Kulite Semiconductor Products, Inc.
    Inventors: Anthony D. Kurtz, Alexander A. Ned
  • Patent number: 7439160
    Abstract: A method for producing a semiconductor entity is described. The method includes providing a donor substrate having a zone of weakness at a predetermined depth to define a thin layer, and the donor substrate includes a bonding interface. A receiver substrate is also provided that includes at least one motif on its surface. The technique further includes bonding the donor substrate at the bonding interface to the at least one motif on the receiver substrate, and supplying sufficient energy to detach a portion of the thin layer from the donor substrate located at the at least one motif and to rupture bonds within the thin layer. The energy thus supplied is insufficient to rupture the bond at the bonding interface. Also described is fabrication of a wafer and the use of the method to produce chips suitable for use in electronics, optics, or optoelectronics applications.
    Type: Grant
    Filed: December 28, 2006
    Date of Patent: October 21, 2008
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Yves Matthieu Le Vaillant, Olivier Rayssac, Christophe Fernandez
  • Patent number: 7439161
    Abstract: A method for manufacturing a semiconductor device includes forming a first insulating layer on a semiconductor substrate having a semiconductor chip region and a scribe region; forming a mask pattern on the first insulating layer; removing portions of the first insulating layer using the mask pattern so as to form a contact hole in the semiconductor chip region and a scribe region opening exposing the scribe region; forming a metal contact plug in a contact hole and a metal sidewall on a side of the first insulating layer in the scribe region opening; forming a metallization wiring on the first insulating layer; and forming a second insulating layer and a protective layer over the metal contact plug and the metal sidewall so as to cover the semiconductor chip region and the scribe region.
    Type: Grant
    Filed: July 12, 2006
    Date of Patent: October 21, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Seok Su Kim
  • Patent number: 7439162
    Abstract: The present invention grinds the rear surface side of a device area to form a recessed portion and an annular reinforcement part on the outer periphery of the recessed portion, removes the annular reinforcement part by grinding or cutting the rear surface of the annular reinforcement part so as to give the wafer a uniform thickness, locates the position of streets in the front surface of the wafer by infrared imaging from the rear surface side of the wafer, and after dividing the wafer into individual devices affixes dicing tape to the rear surface of the wafer divided into devices, supports the rear surface of the wafer on a dicing frame and peels a protective member off the front surface of the wafer, thereby enabling the wafer to be supported using ordinary dicing tape while posing no obstacle to device pick-up after division of the wafer.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: October 21, 2008
    Assignee: Disco Corporation
    Inventors: Ryuji Norimoto, Tadato Nagasawa, Takatoshi Masuda
  • Patent number: 7439163
    Abstract: Methods for fabricating fluid injection devices. A patterned sacrificial layer is formed on a substrate. A patterned first structural layer is formed on the substrate covering the sacrificial layer. At least one fluid actuator is formed on the structural layer. A first passivation layer is formed on the first structural covering the at least one fluid actuator. An under bump metal (UBM) layer is conformably formed on the first passivation layer. A patterned first photoresist is formed at a predetermined nozzle site and a contact opening site exposes the UBM layer. A second structural layer is formed on the UBM layer. An etching protective layer is formed on the second structural layer. The first photoresist is removed creating an opening at the nozzle site exposing the UBM layer. The UBM layer in the opening is removed.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: October 21, 2008
    Assignees: Qisda Corporation, Benq Corporation
    Inventors: Hung-Sheng Hu, Wei-Lin Chen, Tsung-Ping Hsu, Der-Rong Shyn
  • Patent number: 7439164
    Abstract: Methods for fabricating facetless semiconductor structures using commercially available chemical vapor deposition systems are disclosed herein. A key aspect of the invention includes selectively depositing an epitaxial layer of at least one semiconductor material on the semiconductor substrate while in situ doping the epitaxial layer to suppress facet formation. Suppression of faceting during selective epitaxial growth by in situ doping of the epitaxial layer at a predetermined level rather than by manipulating spacer composition and geometry alleviates the stringent requirements on the device design and increases tolerance to variability during the spacer fabrication.
    Type: Grant
    Filed: July 20, 2006
    Date of Patent: October 21, 2008
    Assignee: AmberWave Systems Corporation
    Inventors: Thomas A. Langdo, Anthony J. Lochtefeld
  • Patent number: 7439165
    Abstract: A process for forming both tensile and compressive strained silicon layers to accommodate channel regions of MOSFET or CMOS devices has been developed. After formation of shallow trench isolation structures as well as application of high temperature oxidation and activation procedures, the fabrication sequences used to obtain the strained silicon layers is initiated. A semiconductor alloy layer is deposited followed by an oxidation procedure used to segregate a germanium component from the overlying semiconductor alloy layer into an underlying single crystalline silicon body. The level of germanium segregated into the underlying single crystalline silicon body determines the level of strain, which is in tensile state of a subsequently selectively grown silicon layer.
    Type: Grant
    Filed: April 6, 2005
    Date of Patent: October 21, 2008
    Assignee: Agency for Sceince, Technology and Reasearch
    Inventors: Patrick Guo Oiang Lo, Lakshmi Kanta Bera, Wei Yip Loh, Balakumar Subramanian, Narayanan Balasubramanian
  • Patent number: 7439166
    Abstract: In one implementation, a method for fabricating a tiered structure is provided, which includes forming a source and a drain on a substrate with a gate formed therebetween. Formation of the gate includes depositing a gate foot using a gate foot mask having an opening through it to define the gate foot over the substrate. After forming the gate foot, the gate foot mask is stripped. A gate head mask is formed over the gate foot with the gate head mask exposing a top portion of the gate foot. A gate head is formed on the top portion of the gate foot using the gate head mask. A lift-off process is performed, removing the gate head mask.
    Type: Grant
    Filed: June 11, 2005
    Date of Patent: October 21, 2008
    Assignee: HRL Laboratories, LLC
    Inventors: Ivan Milosavljevic, Adele Schmitz, Michael Delaney, Michael Antcliffe
  • Patent number: 7439167
    Abstract: A nonvolatile semiconductor memory includes a trench isolation provided in a semiconductor substrate and an interlayer insulator provided on the semiconductor substrate. The trench isolation defines an active area extending in a first direction at the semiconductor substrate. The interlayer insulator has a wiring trench extending in a second direction intersecting the first direction. A first conductive material layer is provided at the cross-point of the active area and the wiring trench so that it is insulated from the active area. A second conductive material layer is provided in the wiring trench so that it is insulated from the first conductive material layer. A metal layer is provided in the wiring trench so that it is electrically in contact the second conductive material layer.
    Type: Grant
    Filed: February 7, 2007
    Date of Patent: October 21, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Seiichi Aritome
  • Patent number: 7439168
    Abstract: Localized trenches or access holes are milled in a semiconductor substrate to define access points to structures of an integrated circuit intended for circuit editing. A conductor is deposited, such as with a focused ion beam tool, in the access holes and a localized heat is applied to the conductor for silicide formation, especially at the boundary between a semiconductor structure, such as diffusion regions, and the deposited conductor. Localized heat may be generated at the target location through precise laser application, current generation through the target location, or a combination thereof.
    Type: Grant
    Filed: October 12, 2004
    Date of Patent: October 21, 2008
    Assignee: DCG Systems, Inc
    Inventors: Christian Boit, Theodore R. Lundquist, Chun-Cheng Tsao, Uwe JĂĽrgen Kerst, Stephan Schoemann, Peter Sadewater
  • Patent number: 7439169
    Abstract: Integrated circuits and methods of redistributing bondpad locations are disclosed. In one implementation, a method of redistributing a bondpad location of an integrated circuit includes providing an integrated circuit comprising an inner lead bondpad. A first insulative passivation layer is formed over the integrated circuit. A bondpad-redistribution line is formed over the first insulative passivation layer and in electrical connection with the inner lead bondpad through the first insulative passivation layer. The bondpad-redistribution line includes an outer lead bondpad area. A second insulative passivation layer is formed over the integrated circuit and the bondpad-redistribution line. The second insulative passivation layer is formed to have a sidewall outline at least a portion of which is proximate to and conforms to at least a portion of the bondpad-redistribution line. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: October 21, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Charles M. Watkins
  • Patent number: 7439170
    Abstract: A design structure to provide a package for a semiconductor chip that minimizes the stresses and strains that arise from differential thermal expansion in chip to substrate or chip to card interconnections. An improved set of design structure vias above the final copper metallization level that mitigate shocks during semiconductor assembly and testing. Other embodiments include design structures having varying micro-mechanical support structures that further minimize stress and strain in the semiconductor package.
    Type: Grant
    Filed: March 7, 2008
    Date of Patent: October 21, 2008
    Assignee: International Business Machines Corporation
    Inventors: Timothy Harrison Daubenspeck, Wolfgang Sauter, Jeffrey P. Gambino, David L. Questad
  • Patent number: 7439171
    Abstract: A method for manufacturing an electronic device, in which a via hole and a trench for an interconnect are integrally provided in an interlayer insulating film formed on a substrate, and the via hole and the trench for the interconnect are plugged with an electric conductor film is provided. The method includes: forming a via hole in the interlayer insulating film; forming a resin film, plugging the via hole, on the interlayer insulating film; forming a resist mask having an opening for an interconnect on the interlayer insulating film; and etching the interlayer insulating film through an etching mask of the resist mask to form a trench for the interconnect connected with the via hole. The resin film is being capable of trapping a basic substance.
    Type: Grant
    Filed: October 24, 2005
    Date of Patent: October 21, 2008
    Assignee: NEC Electronics Corporation
    Inventors: Eiichi Soda, Sachiko Yabe
  • Patent number: 7439172
    Abstract: A method for manufacturing a circuit includes the step of providing a first wiring level comprising first wiring level conductors separated by a first wiring level dielectric material. A first dielectric layer with a plurality of interconnect openings and a plurality of gap openings is formed above the first wiring level. The interconnect openings and the gap openings are pinched off with a pinching dielectric material to form relatively low dielectric constant (low-k) volumes in the gap openings. Metallic conductors comprising second wiring level conductors and interconnects to the first wiring level conductors are formed at the interconnect openings while maintaining the relatively low-k volumes in the gap openings. The gap openings with the relatively low-k volumes reduce parasitic capacitance between adjacent conductor structures formed by the conductors and interconnects.
    Type: Grant
    Filed: January 16, 2007
    Date of Patent: October 21, 2008
    Assignee: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Matthew E. Colburn, Louis C. Hsu, Wai-Kin Li
  • Patent number: 7439173
    Abstract: An integrated circuit with increased electromigration lifetime and allowable current density and methods of forming same are disclosed. In one embodiment, an integrated circuit includes a conductive line connected to at least one functional via, and at least one dummy via having a first, lower end electrically connected to the conductive line and a second upper end electrically unconnected (isolated) to any conductive line. Each dummy via extends vertically upwardly from the conductive line and removes a portion of a fast diffusion path, i.e., metal to dielectric cap interface, which is replaced with a metal to metallic liner interface. As a result, each dummy via reduces metal diffusion rates and thus increases electromigration lifetimes and allows increased current density.
    Type: Grant
    Filed: October 9, 2007
    Date of Patent: October 21, 2008
    Assignee: International Business Machines Corporation
    Inventors: Stephen E. Greco, Chao-Kun Hu, Paul S. McLaughlin
  • Patent number: 7439174
    Abstract: Interconnect structures possessing an organosilicate glass based material for 90 nm and beyond BEOL technologies in which a multilayer hardmask using a line-first approach are described. The interconnect structure of the invention achieves respective improved device/interconnect performance and affords a substantial dual damascene process window owing to the non-exposure of the OSG material to resist removal plasmas and because of the alternating inorganic/organic multilayer hardmask stack. The latter feature implies that for every inorganic layer that is being etched during a specific etch step, the corresponding pattern transfer layer in the field is organic and vice-versa.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: October 21, 2008
    Assignee: International Business Machines Corporation
    Inventors: Timothy J. Dalton, Nicholas C. M. Fuller, Stephen M. Gates
  • Patent number: 7439175
    Abstract: A method for forming a thin film of a semiconductor device is provided. The method includes forming a TaN film on a semiconductor substrate, and converting a portion of the TaN film into a Ta film by reacting the TaN film with NO2. The Ta film is formed to have a thickness which is about half of the thickness of the TaN film.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: October 21, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Han-Choon Lee
  • Patent number: 7439176
    Abstract: In one embodiment, a semiconductor device comprises a semiconductor substrate and a doped conductive layer formed over the semiconductor substrate. A diffusion barrier layer is formed over the doped conductive layer. The diffusion barrier layer comprises an amorphous semiconductor material. After forming the diffusion barrier layer, a heat treatment process may be additionally performed thereon. An ohmic contact layer is formed over the diffusion barrier layer. A metal barrier layer is formed over the ohmic contact layer. A metal layer is formed over the metal barrier layer.
    Type: Grant
    Filed: April 19, 2006
    Date of Patent: October 21, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Hwa Park, Jang-Hee Lee, Dae-Yong Kim, Hee-Sook Park
  • Patent number: 7439177
    Abstract: In manufacturing a semiconductor device, a metal film is formed on a semiconductor substrate, and a high-temperature amorphous carbon film pattern for defining a wiring forming area is formed on the metal film. The metal film is etched by using the high-temperature amorphous carbon film pattern as an etching barrier to form a metal wiring. A low-temperature amorphous carbon film as an IMD is formed on the resultant structure so as to cover the metal wiring including the high-temperature amorphous carbon film pattern. The low-temperature amorphous carbon film and the high-temperature amorphous carbon film pattern are etched to form a contact hole, which has greater width in an upper portion than in a lower portion thereof. Finally, a plug metal film is formed on the low-temperature amorphous carbon film to fill the contact hole.
    Type: Grant
    Filed: August 3, 2006
    Date of Patent: October 21, 2008
    Assignee: Hynix Semiconductor Inc.
    Inventors: Chan Bae Kim, Chai O Chung
  • Patent number: 7439178
    Abstract: A semiconductor on insulator (SOI) wafer includes a semiconductor substrate having first and second main surfaces opposite to each other. A dielectric layer is disposed on at least a portion of the first main surface of the semiconductor substrate. A device layer has a first main surface and a second main surface. The second main surface of the device layer is disposed on a surface of the dielectric layer opposite to the semiconductor substrate. A plurality of intended die areas are defined on the first main surface of the device layer. The plurality of intended die areas are separated from one another. A plurality of die access trenches are formed in the semiconductor substrate from the second main surface. Each of the plurality of die access trenches are disposed generally beneath at least a respective one of the plurality of intended die areas.
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: October 21, 2008
    Assignee: Icemos Technology Corporation
    Inventors: Robin Wilson, Conor Brogan, Hugh J. Griffin, Cormac MacNamara
  • Patent number: 7439179
    Abstract: A method for healing detrimental bonds in deposited materials, for example porous, low-k dielectric materials, including oxydatively processing a deposited material, processing the deposited material with a trialkyl group III compound, and processing in the presence of an alcohol. Also included in embodiments of the invention are materials with bonds healed by embodiments of the claimed method.
    Type: Grant
    Filed: June 22, 2005
    Date of Patent: October 21, 2008
    Assignee: Intel Corporation
    Inventor: Michael D. Goodner
  • Patent number: 7439180
    Abstract: A dispenser system for use in atomic beam assisted metal organic chemical vapor deposition is provided as well as a method of depositing an ultra-thin film using the same. The inventive dispenser system includes an atomic source having an unimpeded line of site to a substrate and an annular metal organic chemical vapor deposition showerhead having a plurality of nozzles for delivering a precursor to the substrate. In accordance with the present invention, each of the nozzles present on the showerhead is angled to provide precursor beam trajectories that crossover and are non-intercepting.
    Type: Grant
    Filed: July 28, 2006
    Date of Patent: October 21, 2008
    Assignee: International Business Machines Corporation
    Inventors: Supratik Guha, Fenton R. McFeely, John J. Yurkas
  • Patent number: 7439181
    Abstract: A method for depositing a metal compound film on the wafer by using a vapor phase deposition apparatus 100, including: forming a thin film on the wafer in an interior of the vapor phase deposition apparatus 100 by introducing a source gas for the metal compound film containing Hf or Zr; unloading the wafer having the metal compound film formed thereon from the interior of the vapor phase deposition apparatus 100; introducing a reactive gas in the interior of the vapor phase deposition apparatus 100 to immobilize the unreacted organic compound 180 derived from the source gas remained in the interior of the vapor phase deposition apparatus 100; loading another wafer in the interior of the vapor phase deposition apparatus 100; and depositing metal compound film on another wafer by further introducing the source gas in the interior of the vapor phase deposition apparatus 100, in the condition that the unreacted organic compound 180 exists therein as an immobilized form, is presented.
    Type: Grant
    Filed: August 21, 2007
    Date of Patent: October 21, 2008
    Assignee: NEC Electronics Corporation
    Inventor: Tomoe Yamamoto
  • Patent number: 7439182
    Abstract: A semiconductor and a method of fabricating the same are provided. The method includes: forming an insulation layer on a substrate; forming a trench by selectively etching the insulation layer; electroplating a copper layer in the trench and on the insulation layer under such conditions that a seam is formed at a top middle portion of the trench; and polishing the copper layer to form a copper metal line with the seam.
    Type: Grant
    Filed: July 11, 2006
    Date of Patent: October 21, 2008
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Ji Ho Hong
  • Patent number: 7439183
    Abstract: A method of manufacturing a semiconductor device. In the method, a thin film is formed on an Si substrate having face orientation (100), that part of the thin film, which lies on an element-isolating region, is removed. Then, the Si substrate is subjected to selective etching, making a trench in the substrate to isolate an element, by using the thin film as mask and a mixture solution of hydrofluoric acid and ozone water.
    Type: Grant
    Filed: August 26, 2005
    Date of Patent: October 21, 2008
    Assignees: Kabushiki Kaisha Toshiba, Seiko Epson Corporation
    Inventors: Kunihiro Miyazaki, Hiroyuki Matsuo, Toshiki Nakajima
  • Patent number: 7439184
    Abstract: A pair of comb-teeth electrodes are made from a material substrate including a first conduction layer, a second conduction layer and an intervening insulation layer. The paired electrodes includes first and second comb-teeth electrodes. The first comb-teeth electrode is composed of a first conductor derived from the first conduction layer, a second conductor derived from the second conduction layer and an insulator derived from the insulation layer. The second comb-teeth electrode is derived from the second conduction layer.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: October 21, 2008
    Assignee: Fujitsu Limited
    Inventors: Norinao Kouma, Osamu Tsuboi, Hiromitsu Soneda, Satoshi Ueda
  • Patent number: 7439185
    Abstract: A method of fabricating a semiconductor device having an air-gapped multilayer interconnect wiring structure is disclosed. After having formed a first thin film on or above a substrate, define a first opening in the first thin film. Then, deposit a conductive material in the first opening. Then form a second thin film made of a porous material above the first thin film with the conductive material being deposited in the first opening. Next, define in the second thin film a second opening extending therethrough, followed by deposition of a conductive material in the second opening. The first thin film is removed through voids in the second thin film after having deposited the conductive material in the second opening. An integrated semiconductor device as manufactured thereby is also disclosed.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: October 21, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Akihiro Kojima
  • Patent number: 7439186
    Abstract: A method for structuring a silicon layer applies lacquer mask onto the silicon layer, and the silicon layer is selectively etched relative to the lacquer mask using an etching gas mixture comprising SF6, HBr and He/O2. The openings etched into the silicon layer with this method comprise especially steep sidewalls. Over and above this, the etching selectivity relative to a lacquer mask is clearly improved.
    Type: Grant
    Filed: June 16, 2003
    Date of Patent: October 21, 2008
    Assignee: Infineon Technologies AG
    Inventors: Matthias Krönke, Laura Lazar
  • Patent number: 7439187
    Abstract: A method of fabricating a grayscale reticule includes preparing a quartz substrate; depositing a layer of silicon-rich oxide on the quartz substrate; depositing a layer of silicon nitride as an oxidation barrier layer on the silicon-rich oxide layer; depositing and patterning a layer of photoresist; etching the silicon nitride layer with a pattern for the silicon nitride layer; removing the photoresist; cleaning the quartz substrate and the remaining layers; oxidizing the quartz substrate and the layers thereon, thereby converting the silicon-rich oxide layer to a transparent silicon dioxide layer; removing the remaining silicon nitride layer; forming the quartz substrate and the silicon dioxide thereon into a reticule; and using the reticule to pattern a microlens array.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: October 21, 2008
    Assignee: Sharp Laboratories of America
    Inventors: Yoshi Ono, Bruce D. Ulrich, Pooran Chandra Joshi