Patents Issued in February 16, 2016
  • Patent number: 9263316
    Abstract: A semiconductor device is provided. The semiconductor device includes a semiconductor substrate and a trench isolation. The trench isolation is formed in the semiconductor substrate, and includes an isolation oxide and a spin coating material. The isolation oxide is peripherally enclosed by the semiconductor substrate. The spin coating material is peripherally enclosed by the isolation oxide.
    Type: Grant
    Filed: February 13, 2014
    Date of Patent: February 16, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shang-Yen Wu, Chiang-Ming Chuang, Ping-Pang Hsieh
  • Patent number: 9263317
    Abstract: A method of forming a buried word line structure is provided. A first mask layer, an interlayer and a second mask layer are sequentially formed on a substrate, wherein the second mask layer has a plurality of mask patterns and a plurality of gaps arranged alternately, and the gaps includes first gaps and second gaps arranged alternately. A dielectric pattern is formed in each first gap and spacers are simultaneously formed on sidewalls of each second gap, wherein a first trench is formed between the adjacent spacers and exposes a portion of the first mask layer. The mask patterns are removed to form second trenches. An etching process is performed by using the dielectric patterns and the spacers as a mask, so that the first trenches are deepened to the substrate and the second trenches are deepened to the first mask layer.
    Type: Grant
    Filed: March 28, 2014
    Date of Patent: February 16, 2016
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Inho Park, Lars Heineck
  • Patent number: 9263318
    Abstract: According to some embodiments of the present disclosures, a method of forming a laminated semiconductor film is constituted by alternately laminating first and second semiconductor films on an underlying film of each of a plurality of substrates to be processed. The method includes performing a first operation of forming the first semiconductor film and a second operation of forming the second semiconductor film until a predetermined number of laminated films are obtained. In the method, a film forming temperature in the first operation and a film forming temperature in the second operation are set to be equal to each other, and temperatures between the first and second operations are set to be constant.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: February 16, 2016
    Assignee: Tokyo Electron Limited
    Inventor: Mitsuhiro Okada
  • Patent number: 9263319
    Abstract: According to one embodiment, a semiconductor memory device includes a plurality of stacked bodies and a spacer film provided on a side surface of the stacked bodies. Each of the plurality of stacked bodies includes a silicon electrode and a metal electrode stacked on the metal electrode. The plurality of stacked bodies are separated from each other by an air gap. The spacer film includes silicon oxide. A portion of the spacer film disposed on a side surface of the metal electrode is thicker than a portion of the spacer film disposed on a side surface of the silicon electrode.
    Type: Grant
    Filed: June 9, 2014
    Date of Patent: February 16, 2016
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Ryota Fujitsuka, Fumiki Aiso, Motoki Fujii, Hiroshi Itokawa
  • Patent number: 9263320
    Abstract: An object of the invention is to provide a semiconductor device having improved performance. A method of manufacturing a semiconductor device includes: forming a trench and then forming a first insulating film made of a silicon oxide film through CVD using a gas containing an O3 gas and a TEOS gas to cover the side surface of the trench with the insulating film; forming a second insulating film made of a silicon oxide film through PECVD to cover the side surface of the trench with the second insulating film via the first insulating film; and forming a third insulating film made of a silicon oxide film through CVD using a gas containing an O3 gas and a TEOS gas to close the trench with the third insulating film while leaving a space in the trench.
    Type: Grant
    Filed: January 9, 2015
    Date of Patent: February 16, 2016
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventors: Tatsunori Murata, Takahiro Maruyama
  • Patent number: 9263321
    Abstract: A semiconductor device and method of manufacturing the semiconductor device are disclosed. The semiconductor device includes: a substrate including an active region and at least one groove isolation region formed on the substrate, wherein the at least one groove isolation region is formed adjoining the active region, a gate structure formed on a first portion of the active region, and at least one local interconnection layer formed on a portion of the substrate, wherein the at least one local interconnection layer is located on a side of the gate structure, and covers at least a second portion of the active region and a portion of the groove isolation region adjoining the active region.
    Type: Grant
    Filed: August 19, 2013
    Date of Patent: February 16, 2016
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Paul Cao, Shanon Pu, Roy Wang, Enty Cheng, Lily Song
  • Patent number: 9263322
    Abstract: Semiconductor devices and methods for forming a semiconductor device are presented. The method includes providing a substrate having a device component with a contact region. A contact dielectric layer is formed on the substrate, covering the substrate and device component. The contact dielectric layer includes a lower contact dielectric layer, an intermediate contact dielectric etch stop layer formed on the lower contact dielectric layer, and an upper contact dielectric layer formed on the intermediate contact dielectric etch stop layer. A contact opening is formed through the contact dielectric layer. The contact opening has an upper contact sidewall profile in the upper contact dielectric layer and a lower tapered contact sidewall profile in the lower contact dielectric layer. The tapered sidewall profile prevents shorting with the device component.
    Type: Grant
    Filed: September 18, 2013
    Date of Patent: February 16, 2016
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Tian-Lin Chang, Jianfang Liang, Aaron Chen, Yew Tuck Clament Chow, Fan Zhang, Juan Boon Tan
  • Patent number: 9263323
    Abstract: A semiconductor device includes a plurality of parallel conductive lines that are spaced apart from one another in a first direction and extend in a second direction transverse to the first direction. The parallel conductive lines includes first and second lines that are adjacent, and a third line that is adjacent to the second line, and the first and third lines each have a cut portion at different points along the second direction.
    Type: Grant
    Filed: February 28, 2014
    Date of Patent: February 16, 2016
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masahisa Sonoda
  • Patent number: 9263324
    Abstract: A TSV can be formed having a top section via formed through the top substrate surface and a bottom section via formed through the bottom substrate surface. The top section cross section can have a minimum cross section corresponding to design rules, and the top section depth can correspond to a workable aspect ratio. The top section via can be filled or plugged so that top side processing can be continued. The bottom section via can have a larger cross section for ease of forming a conductive path therethrough. The bottom section via extends from the back side to the bottom of the top section via and is formed after the substrate has been thinned. The TSV is can be completed by forming a conductive path after removing sacrificial fill materials from the joined top and bottom section vias.
    Type: Grant
    Filed: November 14, 2013
    Date of Patent: February 16, 2016
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Mukta G. Farooq, Troy L. Graves-Abe
  • Patent number: 9263325
    Abstract: Embodiments of the present invention provide a method for cuts of sacrificial metal lines in a back end of line structure. Sacrificial Mx+1 lines are formed above metal Mx lines. A line cut lithography stack is deposited and patterned over the sacrificial Mx+1 lines and a cut cavity is formed. The cut cavity is filled with dielectric material. A selective etch process removes the sacrificial Mx+1 lines, preserving the dielectric that fills in the cut cavity. Precut metal lines are then formed by depositing metal where the sacrificial Mx+1 lines were removed. Thus embodiments of the present invention provide precut metal lines, and do not require metal cutting. By avoiding the need for metal cutting, the risks associated with metal cutting are avoided.
    Type: Grant
    Filed: August 20, 2014
    Date of Patent: February 16, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Andy Chih-Hung Wei, Guillaume Bouche, Mark A. Zaleski
  • Patent number: 9263326
    Abstract: After a copper interconnection is formed above a substrate, a surface of the copper interconnection is activated by performing acid cleaning. Thereafter, the substrate is immersed in a BTA (Benzo triazole) aqueous solution to form a protection film covering the surface of the copper interconnection. At this time, Cu—N—R bonds (R is an organic group) are formed in grain boundary portions in the surface of the copper interconnection. Thereafter, the protection film is removed by performing alkaline cleaning. The Cu—N—R bonds remain in the grain boundary portions in the surface of the copper interconnection even after the protection film is removed. Subsequently, the surface of the copper interconnection is subjected to an activation process, and a barrier layer is formed thereafter by electroless-plating the surface of the copper interconnection with NiP or CoWP.
    Type: Grant
    Filed: May 7, 2015
    Date of Patent: February 16, 2016
    Assignee: FUJITSU LIMITED
    Inventors: Tsuyoshi Kanki, Yoshihiro Nakata, Yasushi Kobayashi
  • Patent number: 9263327
    Abstract: Circuit structure fabrication methods are provided which include: patterning at least one opening within a dielectric layer disposed over a substrate structure; providing a liner material within the at least one opening of the dielectric layer; disposing a surfactant over at least a portion of the liner material; and depositing, using an electroless process, a conductive material over the liner material to form a conductive structure, and the disposed surfactant inhibits formation of a void within the conductive structure.
    Type: Grant
    Filed: June 20, 2014
    Date of Patent: February 16, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Xunyuan Zhang, Sean X. Lin
  • Patent number: 9263328
    Abstract: One or more embodiments relate to a semiconductor device that includes: a conductive layer including a sidewall; a conductive capping layer disposed over the conductive layer and laterally extending beyond the sidewall of the conductive layer by a lateral overhang; and a conductive via in electrical contact with the conductive capping layer.
    Type: Grant
    Filed: October 31, 2014
    Date of Patent: February 16, 2016
    Assignee: Infineon Technologies AG
    Inventors: Dirk Meinhold, Heinrich Koerner, Wolfgang Dickenscheid
  • Patent number: 9263329
    Abstract: A method of fabricating an electronic package. The method includes filling a mold with an electric conductor to form a number of electrical interconnects within the mold. The mold includes openings that are filled with several electric conductors to form a number of electrical interconnects. The method of fabricating an electronic package further includes attaching the mold to a substrate such that the electrical interconnects engage electrical contacts on the substrate. The method of fabricating an electronic package may further include forming conductive pads on the electrical insulator that engage the electrical interconnects and attaching a die to the substrate such that the die is electrically connected to at least some of the electrical interconnects.
    Type: Grant
    Filed: March 19, 2014
    Date of Patent: February 16, 2016
    Assignee: Intel Corporation
    Inventors: Chia-Pin Chiu, Kinya Ichikawa, Yoshihiro Tomita, Robert L. Sankman, Eric Li
  • Patent number: 9263330
    Abstract: A method for forming a contact is provided. The method includes: forming a first dielectric layer over a substrate; forming a second dielectric layer over the first dielectric layer; patterning the second dielectric layer to form a first recess; patterning the first dielectric layer by a first etchant through the first recess to form a second recess, wherein the first etchant has a higher etching rate with respect to the first dielectric layer than with respect to the second dielectric layer and further wherein the second recess is aligned with the first recess; and forming a continuous contact plug in the first recess and the second recess.
    Type: Grant
    Filed: January 10, 2014
    Date of Patent: February 16, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Yun-Hua Chen, Yi-Chun Huang
  • Patent number: 9263331
    Abstract: A method of etching self-aligned contact/via features in a low-k dielectric layer disposed below a hardmask, which is disposed below a planarization layer. At least one cycle is provided, where each cycle comprises thinning the planarization layer, forming a deposition layer on the hardmask and planarization layer; and etching the low-k dielectric layer masked by the deposition layer.
    Type: Grant
    Filed: July 16, 2015
    Date of Patent: February 16, 2016
    Assignee: Lam Research Corporation
    Inventors: Ananth Indrakanti, Peng Wang, Eric A. Hudson
  • Patent number: 9263332
    Abstract: A semiconductor device is made by mounting a semiconductor wafer to a temporary carrier. A plurality of TSV is formed through the wafer. A cavity is formed partially through the wafer. A first semiconductor die is mounted to a second semiconductor die. The first and second die are mounted to the wafer such that the first die is disposed over the wafer and electrically connected to the TSV and the second die is disposed within the cavity. An encapsulant is deposited over the wafer and first and second die. A portion of the encapsulant is removed to expose a first surface of the first die. A portion of the wafer is removed to expose the TSV and a surface of the second die. The remaining portion of the wafer operates as a TSV interposer for the first and second die. An interconnect structure is formed over the TSV interposer.
    Type: Grant
    Filed: July 16, 2013
    Date of Patent: February 16, 2016
    Assignee: STATS ChipPAC, Ltd.
    Inventors: HeeJo Chi, NamJu Cho, HanGil Shin
  • Patent number: 9263333
    Abstract: A wafer processing laminate, a wafer processing member, a temporary adhering material for processing a wafer, and a method for manufacturing a thin wafer, which facilitates to establish a temporary adhering the wafer and the support, enables to form a layer of uniform thickness on a heavily stepped substrate, and is compatible with the TSV formation and wafer back surface interconnect forming steps, and the wafer processing laminate includes a support, a temporary adhesive material layer formed thereon and a wafer laminated on the temporary adhesive material layer, where the wafer has a circuit-forming front surface and a back surface to be processed, wherein the temporary adhesive material layer includes a three-layered structure composite temporary adhesive material layer.
    Type: Grant
    Filed: October 22, 2013
    Date of Patent: February 16, 2016
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Michihiro Sugo, Hideto Kato, Shohei Tagami, Hiroyuki Yasuda, Masahito Tanabe
  • Patent number: 9263334
    Abstract: A method of severing a semiconductor device composite includes a carrier having a main surface and a semiconductor layer sequence arranged on the main surface including forming a separating trench in the semiconductor device composite by a first laser cut such that the separating trench only partially severs the semiconductor device composite in a vertical direction running perpendicular to the main surface, and severing the semiconductor device composite completely along the separating trench with a severing cut with a laser.
    Type: Grant
    Filed: September 27, 2012
    Date of Patent: February 16, 2016
    Assignee: OSRAM Opto Semiconductors GmbH
    Inventors: Guido Weiss, Albert Perchtaler
  • Patent number: 9263335
    Abstract: Disclosed is a discrete semiconductor device package (100) comprising a semiconductor die (110) having a first surface and a second surface opposite said first surface carrying a contact (112); a conductive body (120) on said contact; an encapsulation material (130) laterally encapsulating said conductive body; and a capping member (140, 610) such as a solder cap, a further semiconductor die or a combination thereof in conductive contact with the solder portion, said solder cap extending over the encapsulation material. A further solder cap (150) may be provided over the first surface. A method of manufacturing such a discrete semiconductor device package is also disclosed.
    Type: Grant
    Filed: January 28, 2015
    Date of Patent: February 16, 2016
    Assignee: NXP B.V.
    Inventors: Tim Boettcher, Sven Walczyk, Roelf Anco Jacob Groenhuis, Rolf Brenner, Emiel De Bruin
  • Patent number: 9263336
    Abstract: A method of manufacturing a bipolar junction transistor (BJT) array may include forming a substrate of doped silicon and forming a plurality of BJTs on the substrate. Each of the BJTs may have a first region and a second region sandwiching a base region vertically. The first region may be in contact with the substrate, where the BJTs are formed in a first row and a second row. The first row and the second row may each have BJTs separated from one another by a word line distance and the first row and second row may be separated by a bit line distance. A plurality of word line contacts may be formed laterally enclosing and electrically connected to each base region of the BJTs. The word line contacts may have a lateral thickness more than one half the word line distance and less than one half the bit line distance.
    Type: Grant
    Filed: May 28, 2014
    Date of Patent: February 16, 2016
    Assignee: International Business Machines Corporation
    Inventors: Matthew J. BrightSky, Jin Cai, SangBum Kim, Chung H. Lam, Tak H. Ning
  • Patent number: 9263337
    Abstract: A system and method for etching a substrate is provided. An embodiment comprises utilizing an inert carrier gas in order to introduce a liquid etchant to a substrate. The inert carrier gas may prevent undesirable chemical reactions from taking place during the etching process, thereby helping to reduce the number of defects that occur to the substrate and other structures during the etching process.
    Type: Grant
    Filed: March 8, 2012
    Date of Patent: February 16, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Li Chou, Shao-Yen Ku, Chi-Yun Tseng, Yu-Yen Hsu, Tsai-Pao Su, Hobin Chen, Sheng-Chi Shih
  • Patent number: 9263338
    Abstract: A method for making a semiconductor device may include forming, on a substrate, at least one stack of alternating first and second semiconductor layers. The first semiconductor layer may comprise a first semiconductor material and the second semiconductor layer may comprise a second semiconductor material. The first semiconductor material may be selectively etchable with respect to the second semiconductor material. The method may further include removing portions of the at least one stack and substrate to define exposed sidewalls thereof, forming respective spacers on the exposed sidewalls, etching recesses through the at least one stack and substrate to define a plurality of spaced apart pillars, selectively etching the first semiconductor material from the plurality of pillars leaving second semiconductor material structures supported at opposing ends by respective spacers, and forming at least one gate adjacent the second semiconductor material structures.
    Type: Grant
    Filed: October 23, 2013
    Date of Patent: February 16, 2016
    Assignees: STMICROELECTRONICS, INC., GLOBALFOUNDRIES INC.
    Inventors: Qing Liu, Xiuyu Cai, Ruilong Xie
  • Patent number: 9263339
    Abstract: A method for forming a semiconductor structure includes forming a gate stack over a semiconductor substrate; forming a recess in the semiconductor substrate and adjacent the gate stack; and performing a selective epitaxial growth to grow a semiconductor material in the recess to form an epitaxy region. After the step of performing the selective epitaxial growth, a selective etch-back is performed to the epitaxy region. The selective etch-back is performed using process gases comprising a first gas for growing the semiconductor material, and a second gas for etching the epitaxy region.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: February 16, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Hung Cheng, Chii-Horng Li, Tze-Liang Lee
  • Patent number: 9263340
    Abstract: One illustrative method disclosed herein includes, among other things, forming a plurality of trenches in a semiconductor substrate to thereby define a plurality of fins in the substrate, forming a layer of insulating material in the trenches, performing an etching process sequence to remove at least a portion of one of the plurality of fins and thereby define a fin cavity, wherein the etching process sequence includes performing a first anisotropic etching process and, after performing the first anisotropic etching process, performing a second isotropic etching process. In this embodiment, the method concludes with the step of forming additional insulating material in the fin cavity.
    Type: Grant
    Filed: May 2, 2014
    Date of Patent: February 16, 2016
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: William J. Taylor, Jr., Ruilong Xie
  • Patent number: 9263341
    Abstract: Some embodiments include methods of forming transistors. Recesses are formed to extend into semiconductor material. The recesses have upper regions lined with liner material and have segments of semiconductor material exposed along lower regions. Semiconductor material is isotropically etched through the exposed segments which transforms the recesses into openings having wide lower regions beneath narrow upper regions. Gate dielectric material is formed along sidewalls of the openings. Gate material is formed within the openings and over regions of the semiconductor material between the openings. Insulative material is formed down the center of each opening and entirely through the gate material. A segment of gate material extends from one of the openings to the other, and wraps around a pillar of the semiconductor material between the openings. The segment is a gate of a transistor. Source/drain regions are formed on opposing sides of the gate.
    Type: Grant
    Filed: August 26, 2015
    Date of Patent: February 16, 2016
    Assignee: Micron Technology, Inc.
    Inventors: Deepak Chandra Pandey, Haitao Liu, Fawad Ahmed, Kamal M. Karda
  • Patent number: 9263342
    Abstract: The present disclosure provides devices and methods which provide for strained epitaxial regions. A method of semiconductor fabrication is provided that includes forming a gate structure over a fin of a semiconductor substrate and forming a recess in the fin adjacent the gate structure. A sidewall of the recess is then altered. Exemplary alterations include having an altered profile, treating the sidewall, and forming a layer on the sidewall. An epitaxial region is then grown in the recess. The epitaxial region interfaces the altered sidewall of the recess and is a strained epitaxial region.
    Type: Grant
    Filed: March 2, 2012
    Date of Patent: February 16, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tsung-Lin Lee, Feng Yuan, Hung-Li Chiang, Chih Chieh Yeh
  • Patent number: 9263343
    Abstract: Silicon germanium regions are formed adjacent gates electrodes over both n-type and p-type regions in an integrated circuit. A hard mask patterned by lithography then protects structures over the p-type region while the silicon germanium is selectively removed from over the n-type region, even under remnants of the hard mask on sidewall spacers on the gate electrode. Silicon germanium carbon is epitaxially grown adjacent the gate electrode in place of the removed silicon germanium, and source/drain extension implants are performed prior to removal of the remaining hard mask over the p-type region structures.
    Type: Grant
    Filed: July 9, 2014
    Date of Patent: February 16, 2016
    Assignees: STMicroelectronics, Inc., International Business Machines Corporation
    Inventors: Nicolas Loubet, Balasubramanian Pranatharthiharan
  • Patent number: 9263344
    Abstract: A replacement metal gate process in which a high-k dielectric is applied. The high-k dielectric may be doped with lanthanum in an NMOS region or aluminum in a PMOS region. Alternatively, after a dummy gate is removed in the NMOS and PMOS regions to leave openings in the NMOS and PMOS regions, lanthanum oxide may be deposited in the NMOS opening or aluminum oxide deposited in the PMOS opening. Thereafter, first work function metals are deposited in the NMOS opening and second work function metals are applied in the PMOS openings. A suitable gate electrode material may then fill the remainder of the NMOS and PMOS openings.
    Type: Grant
    Filed: December 9, 2014
    Date of Patent: February 16, 2016
    Assignees: International Business Machines Corporation, Global Foundries Inc.
    Inventors: Takashi Ando, Changhwan Choi, Kisik Choi, Vijay Narayanan
  • Patent number: 9263345
    Abstract: A transistor structure with improved device performance, and a method for forming the same is provided. The transistor structure is an SOI (silicon-on-insulator) transistor. In one embodiment, a silicon layer over the oxide layer is a relatively uniform film and in another embodiment, the silicon layer over the oxide layer is a silicon fin. The transistor devices include source/drain structures formed of a strain material that extends through the silicon layer, through the oxide layer and into the underlying substrate which may be silicon. The source/drain structures also include portions that extend above the upper surface of the silicon layer thereby providing an increased volume of the strain layer to provide added carrier mobility and higher performance.
    Type: Grant
    Filed: April 20, 2012
    Date of Patent: February 16, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ken-Ichi Goto, Dhanyakumar Mahaveer Sathaiya, Ching-Chang Wu, Tzer-Min Shen
  • Patent number: 9263346
    Abstract: A semiconductor device having an n channel MISFET formed on an SOI substrate including a support substrate, an insulating layer formed on the support substrate and a silicon layer formed on the insulating layer has the following structure. An impurity region for threshold adjustment is provided in the support substrate of a gate electrode so that the silicon layer contains carbon. The threshold value can be adjusted by the semiconductor region for threshold adjustment in this manner. Further, by providing the silicon layer containing carbon, even when the impurity of the semiconductor region for threshold adjustment is diffused to the silicon layer across the insulating layer, the impurity is inactivated by the carbon implanted into the silicon layer. As a result, the fluctuation of the transistor characteristics, for example, the fluctuation of the threshold voltage of the MISFET can be reduced.
    Type: Grant
    Filed: January 15, 2014
    Date of Patent: February 16, 2016
    Assignee: Renesas Electronics Corporation
    Inventors: Takaaki Tsunomura, Toshiaki Iwamatsu
  • Patent number: 9263347
    Abstract: A silicon carbide substrate having a main surface angled off in an off direction relative to a {0001} plane is prepared. A protruding first alignment mark is formed on the main surface of the silicon carbide substrate. A second alignment mark is formed on the first alignment mark by forming a silicon carbide epitaxial layer on the first alignment mark. The first alignment mark includes a first region and a second region, the second region being in contact with the first region and extending from the first region in the off direction. The second alignment mark includes a first portion formed on the first region and a second portion formed on the second region. An alignment step includes the step of capturing an image of the first portion while not including the second portion, and recognizing an edge of the first portion based on the image.
    Type: Grant
    Filed: March 13, 2015
    Date of Patent: February 16, 2016
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Hideto Tamaso
  • Patent number: 9263348
    Abstract: Methods for determining a target thickness of a conformal film with reduced uncertainty, and an integrated circuit (IC) chip having a conformal film of the target thickness are provided. In an embodiment, a first critical dimension of a structure disposed on a wafer is measured. Said structure has at least one vertical surface. A first conformal film is deposited over the structure covering each of a horizontal and the vertical surface of the structure. A second critical dimension of the covered structure is then measured. The target thickness of the conformal film is determined based on difference between the first CD measured on the structure and the second CD measured on the covered structure.
    Type: Grant
    Filed: January 10, 2013
    Date of Patent: February 16, 2016
    Assignee: International Business Machines Corporation
    Inventor: Carlos Strocchia-Rivera
  • Patent number: 9263349
    Abstract: Methods for forming a semiconductor layer, such as a metal1 layer, having minimum width features separated by a distance greater than a minimum pitch, and the resulting devices are disclosed. Embodiments may include determining a first shape and a second shape having a minimum width within a semiconductor layer, wherein a distance between the first shape and the second shape is greater than a minimum pitch, determining an intervening shape between the first shape and the second shape, and designating a dummy shape within the intervening shape, wherein the dummy shape is at the minimum pitch from the first shape.
    Type: Grant
    Filed: November 8, 2013
    Date of Patent: February 16, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Sonia Ghosh, Randy Mann, Norman Chen, Shaowen Gao
  • Patent number: 9263350
    Abstract: Methods and apparatus for multi-station semiconductor deposition operations with RF power frequency tuning are disclosed. The RF power frequency may be tuned according to a measured impedance of a plasma during the semiconductor deposition operation. In certain implementations of the methods and apparatus, a RF power parameter may be adjusted during or prior to the deposition operation. Certain other implementations of the semiconductor deposition operations may include multiple different deposition processes with corresponding different recipes. The recipes may include different RF power parameters for each respective recipe. The respective recipes may adjust the RF power parameter prior to each deposition process. RF power frequency tuning may be utilized during each deposition process.
    Type: Grant
    Filed: August 12, 2014
    Date of Patent: February 16, 2016
    Assignee: Lam Research Corporation
    Inventors: Sunil Kapoor, Karl F. Leeser, Adrien LaVoie, Yaswanth Rangineni
  • Patent number: 9263351
    Abstract: The present invention discloses an inductive element formed by through silicon via interconnections. The inductive element formed by means of the special through silicon via interconnection by using through silicon via technology features advantages such as high inductance and density. Moreover, the through silicon via interconnection integrated process forming the inductive element is compatible with the ordinary through silicon interconnection integrated process without any other steps, thus making the process simple and steady. The inductive element using the present invention is applicable to the through silicon via package manufacturing of various chips, especially the package manufacturing of power control chips and radio-frequency chips.
    Type: Grant
    Filed: September 8, 2014
    Date of Patent: February 16, 2016
    Assignee: Fudan University
    Inventors: Pengfei Wang, Qingqing Sun, Wei Zhang
  • Patent number: 9263352
    Abstract: Disclosed is a singulation apparatus for cutting a workpiece. The singulation apparatus comprises: i) a processor; ii) at least one chuck device for securing the workpiece to be cut; iii) a cutting device spaced from the at least one chuck device by a separation distance, the cutting device being for cutting the workpiece secured to the at least one chuck device; and iv) an imaging device operable to capture one or more images comprising the cutting device and a reference feature. In particular, the processor is configured to determine a separation distance between the cutting device and the reference feature based on the one or more images as captured by the imaging device, to thereby determine the separation distance between the cutting device and the workpiece as secured to the at least one chuck device.
    Type: Grant
    Filed: January 3, 2014
    Date of Patent: February 16, 2016
    Assignee: ASM TECHNOLOGY SINGAPORE PTE LTD
    Inventors: Chi Wah Cheng, Hoi Shuen Tang, Chun Kit Liu
  • Patent number: 9263354
    Abstract: An apparatus comprises a pillar formed on a top surface of a semiconductor substrate, wherein the pillar comprises a first pillar region, a second pillar region and a first cavity formed between the first pillar region and the second pillar region, and wherein the first cavity is configured to accommodate a probe pin.
    Type: Grant
    Filed: March 17, 2014
    Date of Patent: February 16, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ying-Ju Chen, Hsien-Wei Chen
  • Patent number: 9263355
    Abstract: An electronic signal transmitting device is disposed in a housing of an integrated circuit. The integrated circuit includes at least one first signal end and at least one second signal end. The electronic signal transmitting device includes at least one electromagnetic transmitting unit, coupled between the first signal end and the second signal end for transmitting an electronic signal between the first signal end and the second signal end; and an electromagnetic insulating layer covering the electromagnetic transmitting unit for protecting the integrated circuit from electromagnetic interference.
    Type: Grant
    Filed: September 11, 2013
    Date of Patent: February 16, 2016
    Assignee: Wistron Corporation
    Inventors: Lung-Fai Tuen, Chi-Fang Weng, Wei-Cheng Lin, Hong-Kuei Lee, Wei-Shuen Chang, Wei-Shen Chu
  • Patent number: 9263356
    Abstract: A partition in lattice form forms a plurality of housing sections. A plurality of circuit blocks including a semiconductor block and a terminal base block are electrically connected one to another in a state of being housed in the housing sections to form a power semiconductor circuit. The semiconductor block is formed by covering an IGBT with an insulating material. A collector of the IGBT is connected to an electrode through a metal plate. The electrode is led out from an inner portion of the insulating material to a side surface of the insulating material. A terminal base block includes a power terminal to which an external power wiring for supplying electric power to the IGBT is electrically connected, and a screw hole into which a screw for fixing the power wiring is inserted.
    Type: Grant
    Filed: May 28, 2012
    Date of Patent: February 16, 2016
    Assignee: Mitsubishi Electric Corporation
    Inventor: Noboru Miyamoto
  • Patent number: 9263357
    Abstract: According to various embodiments, a carrier may include: a hollow chamber spaced apart from a surface of the carrier; and at least one support structure within the hollow chamber connecting a first region of the carrier disposed over the hollow chamber with a second region of the carrier disposed below the hollow chamber, wherein at least a part of a surface of the at least one support structure is spaced apart from an inner surface of the hollow chamber, and wherein the at least one support structure includes an electrically insulating material.
    Type: Grant
    Filed: December 6, 2013
    Date of Patent: February 16, 2016
    Assignee: INFINEON TECHNOLOGIES DRESDEN GMBH
    Inventor: Steffen Bieselt
  • Patent number: 9263358
    Abstract: A memory module is disclosed. The memory module may have an insulator. The memory module may also have a device disposed within the insulator. The memory module may further have a filler disposed on the device. The filler may be configured to expand and flow into one or more cracks in the insulator, when the filler is subjected to a threshold temperature.
    Type: Grant
    Filed: July 15, 2014
    Date of Patent: February 16, 2016
    Assignee: Progress Rail Services Corporation
    Inventor: Mark Joseph Bartonek
  • Patent number: 9263359
    Abstract: A method of forming a thin barrier film of a mixed metal-silicon-oxide is disclosed. For example, a method of forming an aluminum-silicon-oxide mixture having a refractive index of 1.8 or less comprises exposing a substrate to sequences of a non-hydroxylated silicon-containing precursor, activated oxygen species, and metal-containing precursor until a mixed metal-silicon-oxide film having a thickness of 500 ?ngstroms or less is formed on the substrate.
    Type: Grant
    Filed: February 26, 2014
    Date of Patent: February 16, 2016
    Assignee: Lotus Applied Technology, LLC
    Inventors: Eric R. Dickey, Bryan Larson Danforth
  • Patent number: 9263360
    Abstract: Thermosetting resin compositions useful for liquid compression molding encapsulation of a silicon wafer are provided. The so-encapsulated silicon wafers offer improved resistance to warpage, compared to unencapsulated wafers or wafers encapsulated with known encapsulation materials.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: February 16, 2016
    Assignee: Henkel IP & Holding GmbH
    Inventor: Jie Bai
  • Patent number: 9263361
    Abstract: A semiconductor device is made by forming a conductive layer over a temporary carrier. The conductive layer includes a wettable pad. A stud bump is formed over the wettable pad. The stud bump can be a stud bump or stacked bumps. A semiconductor die is mounted to the carrier. An encapsulant is deposited over the semiconductor die and around the stud bump. A first interconnect structure is formed over a first surface of the encapsulant. The first interconnect structure includes a first IPD and is electrically connected to the stud bump. The carrier is removed. A second interconnect structure is formed over a second surface of encapsulant opposite the first interconnect structure. The second interconnect structure includes a second IPD. The first or second IPD includes a capacitor, resistor, or inductor. The semiconductor devices are stackable and electrically connected through the stud bump.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: February 16, 2016
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Reza A. Pagaila, Byung Tai Do, Shuangwu Huang, Rajendra D. Pendse
  • Patent number: 9263362
    Abstract: A method includes providing a first semiconductor chip comprising a ring-shaped metal structure extending along a contour of a first main surface of the semiconductor chip. The method includes encapsulating the first semiconductor chip with an encapsulation body thereby defining a second main surface and depositing a metal layer over the first semiconductor chip and the encapsulation body. A plurality of external contact pads are placed over the second main surface of the encapsulation body, the metal layer electrically coupling at least one external contact pad of the plurality of external contact pads to the ring-shaped metal structure. A seal ring is placed between the ring-shaped metal structure and the contour of the first main surface of the first semiconductor chip.
    Type: Grant
    Filed: February 27, 2012
    Date of Patent: February 16, 2016
    Assignee: Infineon Technologies AG
    Inventors: Rudolf Lachner, Josef Boeck, Klaus Aufinger, Herbert Knapp
  • Patent number: 9263363
    Abstract: The present invention relates generally to thermally-conductive pastes for use with integrated circuits, and particularly, but not by way of limitation, to self-orienting microplates of graphite.
    Type: Grant
    Filed: October 7, 2013
    Date of Patent: February 16, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Gareth Hougham, Paul A. Lauro, Brian R. Sundlof, Jeffrey D. Gelorme
  • Patent number: 9263364
    Abstract: Various semiconductor chip thermal interface material methods and apparatus are disclosed. In one aspect, a method of establishing thermal contact between a first semiconductor chip and a heat spreader is provided. The method includes placing a thermal interface material layer containing a support structure on the first semiconductor chip. The heat spreader is positioned proximate the thermal interface material layer. The thermal interface material layer is reflowed to establish thermal contact with both the first semiconductor chip and the heat spreader.
    Type: Grant
    Filed: August 23, 2011
    Date of Patent: February 16, 2016
    Assignees: Advanced Micro Devices, Inc., ATI Technologies ULC
    Inventors: Maxat Touzelbaev, Gamal Refai-Ahmed, Yizhang Yang, Bryan Black
  • Patent number: 9263365
    Abstract: An electronic component includes a base substance, a cooling channel formed in the base substance and flows a cooling medium in a second direction from a first direction, a radiator formed in a surface of the cooling channel using a material of which thermal conductivity is higher than a thermal conductivity of the base substance or formed so that the radiator may project to the cooling channel, and that contacts the cooling medium.
    Type: Grant
    Filed: February 18, 2014
    Date of Patent: February 16, 2016
    Assignee: NEC CORPORATION
    Inventor: Tsutomu Takeda
  • Patent number: 9263366
    Abstract: A semiconductor assembly for use with forced liquid and gas cooling. A relatively rigid nano-structure (for example, array of elongated nanowires) extends from an interior surface of a cap toward a top surface of a semiconductor chip, but, because of the rigidness and structural integrity of the nano-structure built into the cap, and of the cap itself, the nano-structure is reliably spaced apart from the top surface of the chip, which helps allow for appropriate cooling fluid flows. The cap piece and nano-structures built into the cap may be made of silicon or silicon compounds.
    Type: Grant
    Filed: May 30, 2014
    Date of Patent: February 16, 2016
    Assignee: International Business Machines Corporation
    Inventors: Wei Lin, Son V. Nguyen, Spyridon Skordas, Tuan A. Vo