Patents Issued in December 17, 2019
-
Patent number: 10510538Abstract: Representative systems and methods for preventing or otherwise reducing extreme-ultraviolet-induced material property changes (e.g., layer thickness shrinkage) include one or more thermal treatments to at least partially stabilize a material forming a material layer disposed over a substrate prior to extreme ultraviolet (EUV) exposure (e.g., wavelengths spanning about 124 nm to about 10 nm) attendant to photolithographic processing. Representative systems and methods provide for reduction of average compressive stress in a material layer after thermal treatment prior to extreme EUV photolithographic patterning. Representative thermal treatments may include one or more annealing processes, ultraviolet (UV) radiation treatments, ion implantations, ion bombardments, plasma treatments, surface baking treatments, surface coating treatments, surface ashing treatments, or pulsed laser treatments.Type: GrantFiled: April 27, 2017Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventor: Chia-Ying Li
-
Patent number: 10510539Abstract: A method for forming a fin field effect transistor (FinFET) device structure is provided. The FinFET device structure includes a substrate and a first fin structure and a second fin structure extending above the substrate. The FinFET device structure also includes a first transistor formed on the first fin structure and a second transistor formed on the second fin structure. The FinFET device structure further includes an inter-layer dielectric (ILD) structure formed in an end-to-end gap between the first transistor and the second transistor, and the end-to-end gap has a width in a range from about 20 nm to about 40 nm.Type: GrantFiled: November 28, 2016Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Chang-Yin Chen, Tung-Wen Cheng, Che-Cheng Chang, Chun-Lung Ni, Jr-Jung Lin, Chih-Han Lin
-
Patent number: 10510540Abstract: Methods of forming semiconductor devices comprising etching a hardmask and spin-on-carbon layer through an opening in a photoresist to expose a gapfill material. The photoresist, spin-on-carbon layer and gapfill material are removed. A new spin-on-carbon layer, hardmask and photoresist are formed with an opening over a spacer mandrel. The hardmask, spin-on-carbon layer are etched through the opening and the layers and spacer mandrel are removed. An etch stop layer and oxide layer are removed and a height of the spacer mandrel and gapfill material are reduced exposing portions of the substrate. The exposed portions of the substrate are fin etched and the layers removed.Type: GrantFiled: July 12, 2018Date of Patent: December 17, 2019Assignee: MICROMATERIALS LLCInventors: Ying Zhang, Qingjun Zhou, Yung-Chen Lin, Ho-yung David Hwang
-
Patent number: 10510542Abstract: A device includes a semiconductor substrate, and a Device Isolation (DI) region extending from a top surface of the semiconductor substrate into the semiconductor substrate. A gate dielectric is disposed over an active region of the semiconductor substrate, wherein the gate dielectric extends over the DI region. A gate electrode is disposed over the gate dielectric, wherein a notch of the gate electrode overlaps a portion of the DI region.Type: GrantFiled: February 26, 2018Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Min-Feng Kao, Szu-Ying Chen, Dun-Nian Yaung, Jen-Cheng Liu, Tzu-Hsuan Hsu, Feng-Chi Hung
-
Patent number: 10510543Abstract: A semiconductor device includes an n?-type drift layer of an formed on an n+-type SiC substrate; a p-type layer provided on a surface opposite that facing the n+-type SiC substrate; and an n-type buffer layer provided, as a recombination promoting layer, between the n?-type drift layer and the n+-type SiC substrate, the n-type buffer layer having an impurity concentration higher than that of the n?-type drift layer. In the buffer layer, as a recombination site, a defect energy-level is introduced at a high concentration of 1×1012/cm3 or higher. The buffer layer promotes internal electron-hole recombination and without applying high energy to BPDs at an interface of the buffer layer and the SiC substrate, may reduce the amount of recombination near the interface even at a current density equivalent to that of a conventional structure and thereby, prevents characteristics degradation at the time of operation.Type: GrantFiled: March 14, 2018Date of Patent: December 17, 2019Assignee: FUJI ELECTRIC CO., LTD.Inventor: Shinichiro Matsunaga
-
Patent number: 10510544Abstract: A method of manufacturing a non-volatile memory semiconductor device includes forming a plurality of memory cells on a non-volatile memory cell area of a semiconductor substrate, and forming a conductive layer over the plurality of memory cells. A first planarization layer of a planarization material having a viscosity of less than about 1.2 centipoise is formed over the plurality of memory cells. A planarization operation is performed on the first planarization layer and the conductive layer, thereby removing an upper region of the first planarization layer and an upper region of the conductive layer. Portions of a lower region of the conductive layer are completely removed between the memory cells.Type: GrantFiled: October 5, 2017Date of Patent: December 17, 2019Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.Inventors: Yu-Ling Hsu, Hung-Ling Shih, Chieh-Fei Chiu, Po-Wei Liu, Wen-Tuo Huang, Yong-Shiuan Tsair, Shihkuang Yang
-
Patent number: 10510545Abstract: Embodiments described herein generally relate to enable the formation of a metal gate structure with a reduced effective oxide thickness over a similar structure formed via conventional methods. A plasma hydrogenation process followed by a plasma nitridization process, or a single-step plasma hydrogenation and nitridization process, is performed on a metal nitride layer in a film stack, thereby, according to some embodiments, removing oxygen atoms disposed within layers of the film stack and, in some embodiments, adding nitrogen atoms to the layers of the film stack. As a result, an effective oxide thickness of the metal gate structure is reduced with little or no accompanying flatband voltage shift.Type: GrantFiled: January 9, 2019Date of Patent: December 17, 2019Assignee: APPLIED MATERIALS, INC.Inventors: Houda Graoui, Johanes S. Swenberg, Wei Liu, Steven C. H. Hung
-
Patent number: 10510546Abstract: Methods of depositing a film selectively onto a first substrate surface relative to a second substrate surface are described. The methods include depositing a second metal on a first metal without protecting the dielectric, protecting the metal with a cross-linked self-assembled monolayer and depositing a second dielectric on the first dielectric while the metal is protected.Type: GrantFiled: June 3, 2019Date of Patent: December 17, 2019Assignee: Applied Materials, Inc.Inventors: Atashi Basu, Abhijit Basu Mallick
-
Patent number: 10510547Abstract: Embodiments described herein relate to methods and materials for fabricating semiconductor device structures. In one example, a metal film stack includes a plurality of metal containing films and a plurality of metal derived films arranged in an alternating manner. In another example, a metal film stack includes a plurality of metal containing films which are modified into metal derived films. In certain embodiments, the metal film stacks are used in oxide/metal/oxide/metal (OMOM) structures for memory devices.Type: GrantFiled: September 4, 2018Date of Patent: December 17, 2019Assignee: APPLIED MATERIALS, INC.Inventors: Susmit Singha Roy, Yingli Rao, Srinivas Gandikota
-
Patent number: 10510548Abstract: Semiconductor structures are provided. The semiconductor structure includes a base including first, second, third, and fourth regions, used for first, second, third, and fourth transistors, respectively. A gate dielectric layer is on the first, second, third and fourth regions of the base. A first material layer is on the gate dielectric layer. A second material layer is on the first material layer above the fourth region. A third material layer is on the first material layer above the third region and on the second material layer above the fourth region. A fourth material layer is on the third material layer above the third and fourth regions and on the first material layer on the second region. The first material layer above the first region is used as a first work function layer for the first transistor.Type: GrantFiled: May 24, 2019Date of Patent: December 17, 2019Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) CorporationInventor: Xin He
-
Patent number: 10510549Abstract: A method of fabricating a metal layer includes performing a first re-sputtering to remove a metal compound formed on a conductive layer. The first re-sputtering includes bombarding the metal compound and a dielectric layer on the conductive layer by inert ions and metal atoms. Then, a barrier is formed on the dielectric layer and the conductive layer. Later, a bottom of the barrier is removed. Subsequently, a metal layer is formed to cover the barrier.Type: GrantFiled: December 25, 2017Date of Patent: December 17, 2019Assignee: UNITED MICROELECTRONICS CORP.Inventors: Shouguo Zhang, Hai Tao Liu, Ming Hua Du, Yen-Chen Chen
-
Patent number: 10510550Abstract: A method of laser-assisted plasma etching with polarized light comprises providing a surface of a substrate that includes at least one surface region having trenches arranged in a unidirectional pattern along an x-direction or a y-direction of the surface, where each trench has a depth along a z-direction. The trenches extend substantially in parallel with each other and have a half-pitch of about 100 nm or less. The surface is exposed to a plasma and simultaneously illuminated with a pulsed laser beam having a predetermined polarization along the x-direction or the y-direction, and the trenches are etched.Type: GrantFiled: September 25, 2018Date of Patent: December 17, 2019Assignee: The Board of Trustees of the University of IllinoisInventors: Jason A. Peck, David N. Ruzic
-
Patent number: 10510551Abstract: Method of forming a protective hard mask layer on a substrate in a semiconductor etch process, comprising the step of applying by solution deposition on the substrate a solution or colloidal dispersion of an alumina polymer, said solution or dispersion being obtained by hydrolysis and condensation of monomers of at least one aluminium oxide precursor in a solvent or a solvent mixture in the presence of water and a catalyst. The invention can be used for making a hard mask in a TSV process to form a high aspect ratio via a structure on a semiconductor substrate.Type: GrantFiled: December 9, 2016Date of Patent: December 17, 2019Assignee: PIBOND OYInventors: Juha T. Rantala, Thomas Gadda, Wei-Min Li, David A. Thomas, William McLaughlin
-
Patent number: 10510552Abstract: A method of removing a hard mask is provided. Gate stacks are patterned on a substrate, where the gate stacks include a polysilicon layer and the hard mask deposited over the polysilicon layer. A dielectric layer is deposited on the substrate and on the patterned gate stacks. A first portion of the dielectric layer is planarized by chemical mechanical polishing (CMP) to remove a topography of the dielectric layer. The hard mask and a second portion of the dielectric layer are removed by the CMP.Type: GrantFiled: April 20, 2018Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manfacturing Company, Ltd.Inventors: Che-Hao Tu, William Weilun Hong, Ying-Tsung Chen
-
Patent number: 10510553Abstract: An ashing process and device forms radicals of an ashing gas through a secondary reaction. A plasma is generated from a first gas, which is diffused through a first gas distribution plate (GDP). The plasma is diffused through a second GDP and a second gas is supplied below the second GDP. The first gas reacts with the second gas to energize the second gas. The energized second gas is used in ashing a resist layer from a substrate.Type: GrantFiled: May 30, 2018Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Jack Kuo-Ping Kuo, Sheng-Liang Pan, Chia-Yang Hung, Jyu-Horng Shieh, Shu-Huei Suen, Syun-Ming Jang
-
Patent number: 10510554Abstract: Among other things, one or more semiconductor arrangements and techniques for forming such semiconductor arrangements are provided. A semiconductor arrangement comprises a first guard ring surrounding at least a portion of a device, and a first poly layer formed over the first guard ring.Type: GrantFiled: November 30, 2018Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing Company LimitedInventors: Sheng-Fang Cheng, Chen-Chih Wu, Chien-Yuan Lee, Yen-Lin Liu
-
Patent number: 10510555Abstract: A method for manufacturing a semiconductor device includes forming a gate electrode over a substrate; forming a hard mask over the gate electrode, in which the hard mask comprises a metal oxide; forming an interlayer dielectric (ILD) layer over the hard mask; forming a contact hole in the ILD layer, wherein the contact hole exposes a source/drain; filling the contact hole with a conductive material; and applying a chemical mechanical polish process to the ILD layer and the conductive material, wherein the chemical mechanical polish process stops at the hard mask, the chemical mechanical polish process uses a slurry containing a boric acid or its derivative, the chemical mechanical polish process has a first removal rate of the ILD layer and a second removal rate of the hard mask, and a first ratio of the first removal rate to the second removal rate is greater than about 5.Type: GrantFiled: August 3, 2018Date of Patent: December 17, 2019Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.Inventors: Chen-Hao Wu, Shen-Nan Lee, Chung-Wei Hsu, Tsung-Ling Tsai, Teng-Chun Tsai
-
Patent number: 10510556Abstract: A semiconductor device and method for forming the semiconductor device is provided. The semiconductor device includes an integrated circuit having through vias adjacent to the integrated circuit die, wherein a molding compound is interposed between the integrated circuit die and the through vias. The through vias have a projection extending through a patterned layer, and the through vias may be offset from a surface of the patterned layer. The recess may be formed by selectively removing a seed layer used to form the through vias.Type: GrantFiled: May 6, 2019Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Hsien-Wei Chen, Chen-Hua Yu, Chi-Hsi Wu, Der-Chyang Yeh, An-Jhih Su, Wei-Yu Chen
-
Patent number: 10510557Abstract: An electronic part mounting substrate includes: a metal plate 10 (for mounting thereon electronic parts) of aluminum or an aluminum alloy having a substantially rectangular planar shape, one major surface of the metal plate 10 being surface-processed so as to have a surface roughness of not less than 0.2 micrometers; a plating film 20 of nickel or a nickel alloy formed on the one major surface of the metal plate 10; an electronic part 14 bonded to the plating film 20 by a silver bonding layer 12 (containing a sintered body of silver); a ceramic substrate 16 having a substantially rectangular planar shape, one major surface of the ceramic substrate 16 being bonded to the other major surface of the metal plate 10; and a radiating metal plate (metal base plate) 18 bonded to the other major surface of the ceramic substrate 16.Type: GrantFiled: November 22, 2013Date of Patent: December 17, 2019Assignee: DOWA METALTECH CO., LTD.Inventors: Naoya Sunachi, Hideyo Osanai, Satoru Kurita
-
Patent number: 10510558Abstract: Disclosed are an electronic device and the manufacturing method thereof, a manufacturing method of a thin film transistor, and an array substrate and manufacturing method thereof. The manufacturing method of an electronic device includes: forming a metallic structure on a base substrate; forming an oxygen-free insulating layer on the metallic structure and the base substrate; and forming an insulating protective layer on the oxygen-free insulating layer. The manufacturing method of the electronic device protects a metallic structure by forming an oxygen-free insulating layer, not containing oxygen elements, on the metallic structure, and hence prevents the metallic structure from being oxidized.Type: GrantFiled: February 10, 2017Date of Patent: December 17, 2019Assignee: BOE Technology Group Co., Ltd.Inventor: Meili Wang
-
Patent number: 10510559Abstract: A power semiconductor module arrangement includes a base plate configured to be arranged in a housing, a contact element configured to, when the base plate is arranged in the housing, provide an electrical connection between the inside and the outside of the housing, and a connecting element configured to connect the contact element to the base plate. The connecting element includes a first electrically insulating layer, a second electrically insulating layer configured to attach the contact element to the first electrically insulating layer, and a third electrically insulating layer configured to attach the first electrically insulating layer to the base plate.Type: GrantFiled: May 11, 2018Date of Patent: December 17, 2019Assignee: Infineon Technologies AGInventors: Marianna Nomann, Elmar Kuehle
-
Patent number: 10510560Abstract: A method of encapsulating a substrate is disclosed, in which the substrate has at least the following layers: a CMOS device layer, a layer of first semiconductor material different to silicon, and a layer of second semiconductor material, the layer of first semiconductor material arranged intermediate the CMOS device layer and the layer of second semiconductor material. The method comprises: (i) circumferentially removing a portion of the substrate at the edges; and (ii) depositing a dielectric material on the substrate to replace the portion removed at step (i) for encapsulating at least the CMOS device layer and the layer of first semiconductor material. A related substrate is also disclosed.Type: GrantFiled: August 31, 2016Date of Patent: December 17, 2019Assignees: Nanyang Technological University, Massachusetts Institute of TechnologyInventors: Kwang Hong Lee, Eng Kian Kenneth Lee, Chuan Seng Tan, Eugene A. Fitzgerald, Viet Cuong Nguyen
-
Patent number: 10510561Abstract: In accordance with an embodiment a method of manufacturing a semiconductor device includes bonding a first semiconductor die and a second semiconductor die to a first substrate, forming a conductive layer over the first semiconductor die, the second semiconductor die, and the first substrate, applying an encapsulant over the conductive layer, and removing a portion of the encapsulant, wherein the removing the portion of the encapsulant exposes the conductive layer.Type: GrantFiled: January 27, 2017Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing CompanyInventors: Chen-Yu Tsai, Tsung-Shang Wei, Yu-Sheng Lin, Wen-Chih Chiou, Shin-Puu Jeng
-
Patent number: 10510562Abstract: Stacked semiconductor devices and methods of forming the same are provided. Contact pads are formed on a die. A passivation layer is blanket deposited over the contact pads. The passivation layer is subsequently patterned to form first openings, the first openings exposing the contact pads. A buffer layer is blanket deposited over the passivation layer and the contact pads. The buffer layer is subsequently patterned to form second openings, the second opening exposing a first set of the contact pads. First conductive pillars are formed in the second openings. Conductive lines are formed over the buffer layer simultaneously with the first conductive pillars, ends of the conductive lines terminating with the first conductive pillars. An external connector structure is formed over the first conductive pillars and the conductive lines, the first conductive pillars electrically coupling the contact pads to the external connector structure.Type: GrantFiled: December 20, 2018Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing Company Ltd.Inventors: Hsien-Wei Chen, Der-Chyang Yeh, Li-Hsien Huang
-
Patent number: 10510563Abstract: A wafer carrier assembly includes a wafer carrier and a fluid passage. The wafer carrier comprises a retainer ring confining a wafer accommodation space. The fluid passage is inside the wafer carrier. The fluid passage includes an inlet and at least an outlet to dispense fluid into the wafer accommodation space.Type: GrantFiled: April 15, 2016Date of Patent: December 17, 2019Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.Inventors: Ming-Tung Wu, Hsun-Chung Kuang
-
Patent number: 10510564Abstract: A system for controlling temperature of a substrate, which is arranged on a substrate support assembly, includes first and second sources to respectively supply a fluid at first and second temperatures at a fixed flow rate. First and second three-way proportional valves receive the fluid from the first and second sources, mix first portions of the received fluid to supply the fluid having a predetermined temperature to the substrate support assembly at a predetermined flow rate, and return second portions of the received fluid to the first and second sources. A third three-way proportional valve receives the fluid from the substrate support assembly and returns the received fluid to the first and second sources. A controller controls the first and second valves to supply the fluid to the substrate support assembly and controls the third valve to divide the fluid between the first and second sources.Type: GrantFiled: January 10, 2018Date of Patent: December 17, 2019Assignee: LAM RESEARCH CORPORATIONInventor: Alexander Charles Marcacci
-
Patent number: 10510565Abstract: A thermal treatment system includes a chamber capable of receiving a plurality of substrates, a gas intake path in a distal portion of the chamber located opposite an area for entry of substrates into the chamber, and an outlet path for the gas and/or volatile species generated during the thermal treatment. The outlet path is located in a proximal portion of the chamber located near the area for entry of the substrates into the chamber. The system further includes a collector device in the proximal portion of the chamber. The collector device has a confinement opening oriented toward the distal portion of the chamber, and the collector device defines a compartment communicating with the outlet path, the compartment being configured so that the gas and the volatile species enter into the compartment via the confinement opening and pass through the compartment to reach the outlet path.Type: GrantFiled: October 10, 2017Date of Patent: December 17, 2019Assignee: SoitecInventors: Didier Landru, Oleg Kononchuk, Sébastien Simon
-
Patent number: 10510566Abstract: Some embodiments relate to a cluster tool for semiconductor manufacturing. The cluster tool comprises a first transfer chamber having a first transfer robot. The cluster tool further comprises a designated storage chamber and a transfer load lock attached to the first transfer chamber. The cluster tool further comprises a second transfer chamber connected to the first transfer chamber through a pair of via connector chambers, the second transfer chamber having a second transfer robot. The cluster tool further comprises at least three epitaxial deposition chamber attached to the second transfer chamber. The cluster tool further comprises a control unit configured to control the second transfer robot to transfer wafers between the designated storage chamber and the transfer load lock.Type: GrantFiled: July 14, 2015Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Su-Horng Lin, Tsung-Hsun Yu, Victor Y. Lu
-
Patent number: 10510567Abstract: Embodiments described herein include integrated systems used to directly monitor a substrate temperature during a plasma enhanced deposition process and methods related thereto. In one embodiment, a substrate support assembly includes a support shaft, a substrate support disposed on the support shaft, and a substrate temperature monitoring system for measuring a temperature of a substrate to be disposed on the substrate support. The substrate temperature monitoring system includes a optical fiber tube, a light guide coupled to the optical fiber tube, and a cooling assembly disposed about a junction of the optical fiber tube and the light guide. Herein, at least a portion of the light guide is disposed in an opening extending through the support shaft and into the substrate support and the cooling assembly maintains the optical fiber tube at a temperature of less than about 100° C. during substrate processing.Type: GrantFiled: May 3, 2018Date of Patent: December 17, 2019Assignee: APPLIED MATERIALS, INC.Inventors: Yizhen Zhang, Rupankar Choudhury, Jay D. Pinson, II, Jason M. Schaller, Hanish Kumar Panavalappil Kumarankutty
-
Patent number: 10510568Abstract: An inhibitor solution injector system for an IC decapsulation apparatus has a source reservoir of inhibitor solution, a fluid injection apparatus connected to the source reservoir by a fluid passage, an injection coupling having a first input passage for inhibitor solution, and a through passage for etchant solution, the input passage intersecting with the through passage, and control circuitry controlling the controlled fluid injection apparatus. The fluid injection apparatus is controlled to draw inhibitor solution from the source reservoir, and to inject inhibitor solution through the input passage into the through passage of the injection coupling.Type: GrantFiled: October 20, 2015Date of Patent: December 17, 2019Assignee: RKD Engineering CorporationInventor: Kirk Alan Martin
-
Patent number: 10510569Abstract: A pattern forming apparatus according to an embodiment includes: a pre-alignment unit that performs pre-alignment for a substrate; a transfer unit that transfers the substrate into the pre-alignment unit; a placing table on which the substrate transferred into the pre-alignment unit is placed; a position detecting unit provided at a position included in the placing table and overlapping with an edge of the substrate, and adapted to detect a position of the edge of the substrate; and a control unit that calculates a positional displacement amount of the substrate from the position of the edge of the substrate detected by the position detecting unit, and controls the placing table on the basis of the positional displacement amount of the substrate to correct the position of the substrate.Type: GrantFiled: July 19, 2018Date of Patent: December 17, 2019Assignee: Toshiba Memory CorporationInventor: Sho Kawadahara
-
Patent number: 10510570Abstract: Embodiments of the present invention provide systems, apparatus, and methods for purging a substrate carrier. Embodiments include a frame configured to sit proximate to a load port door without interfering with operation of a factory interface or equipment front end module robot; one or more inter-substrate nozzle arrays supported by the frame and configured to spray gas into a substrate carrier; and one or more curtain nozzle arrays supported by the frame and configured to spray gas across an opening of the substrate carrier. Numerous additional aspects are disclosed.Type: GrantFiled: October 22, 2015Date of Patent: December 17, 2019Assignee: Applied Materials, Inc.Inventors: Subramaniam V. Iyer, Dharma Ratnam Srichurnam, Devendrappa Holeyannavar, Douglas MacLeod, Kenneth Carpenter, Naveen Kumar, Vivek R. Rao, Patrick Pannese
-
Patent number: 10510571Abstract: A method comprises transporting semiconductor devices between a global system and a local system, wherein an input terminal of the local system is connected to the global system, and wherein the global system comprises a plurality of stockers and a global transportation system connected to the stockers and the local system comprises a first service area, an internal buffer, a second service area and a plurality of lithography apparatuses and transporting a semiconductor device from the first service area to a lithography apparatus in the second service area.Type: GrantFiled: October 29, 2018Date of Patent: December 17, 2019Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.Inventor: Ching-Jung Chang
-
Patent number: 10510572Abstract: A semiconductor processing station including a platform, a load port, and a carrier transport track is provided. The platform includes an intake/outtake port and a plurality of processing modules. The load port includes a load chamber, a movable cover, and a carrier transfer module. The load chamber communicates with the intake/outtake port and has a load opening at its top end for receiving a transport carrier within the load chamber. The movable cover is disposed at the load opening and configured to seal the load opening. The carrier transfer module is configured to transfer the transport carrier to the intake/outtake port. The carrier transport track has a bottom side configured to open the load chamber.Type: GrantFiled: June 24, 2019Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: You-Hua Chou, Chih-Wei Huang, Kuo-Sheng Chuang, Cheng-Chung Chien
-
Patent number: 10510573Abstract: A loading apparatus for processing a wafer cassette containing a plurality of wafers and an operating method thereof are provided. The operating method includes the following steps. The wafer cassette is loaded on a stage of the loading apparatus. The stage is configured to carry the wafer cassette and movably coupled to a main body of the loading apparatus to move within and out of a space of the main body. The stage is vertically moved among a standby position, a lifting position and an intermediate position; horizontally moved from the intermediate position to a door engaging position inside the space; positioned at the door engaging position, and a cassette door of the wafer cassette is opened. The stage is horizontally moved from the door engaging position to the intermediate position, and horizontally moved between the lifting position and an unloading position outside the space after opening the cassette door.Type: GrantFiled: January 26, 2018Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Tsung-Sheng Kuo, Hsuan Lee, Hsu-Shui Liu, Jiun-Rong Pai, Chih-Hung Huang, Yang-Ann Chu
-
Patent number: 10510574Abstract: Abbe error that needs to be considered in high accuracy positioning of a device to be maintained, is suppressed. A prober includes: a plurality of measurement sections arranged between a conveyance area and a maintenance area, each of the measurement sections having a device to be maintained which is used for inspection of a semiconductor element formed on a wafer, and a draw-out mechanism configured to draw out the device to be maintained to a side of the maintenance area; a conveyance unit configured to convey an object to be conveyed to a destination measurement section; and a loading part configured to load the object to be conveyed from the side of the maintenance area to the measurement section. The object to be conveyed is loadable into the measurement section from a conveyance area side and the maintenance area side.Type: GrantFiled: September 14, 2018Date of Patent: December 17, 2019Assignee: Tokyo Seimitsu Co., Ltd.Inventor: Hiroo Tamura
-
Patent number: 10510575Abstract: A method and apparatus for biasing regions of a substrate in a plasma assisted processing chamber are provided. Biasing of the substrate, or regions thereof, increases the potential difference between the substrate and a plasma formed in the processing chamber thereby accelerating ions from the plasma towards the active surfaces of the substrate regions. A plurality of bias electrodes herein are spatially arranged across the substrate support in a pattern that is advantageous for managing uniformity of processing results across the substrate.Type: GrantFiled: September 20, 2017Date of Patent: December 17, 2019Assignee: APPLIED MATERIALS, INC.Inventors: Philip Allan Kraus, Thai Cheng Chua, Jaeyong Cho
-
Patent number: 10510576Abstract: A thin sheet (20) disposed on a carrier (10) via a surface modification layer (30) to form an article (2), wherein the article may be subjected to high temperature processing, as in FEOL semiconductor processing, not outgas and have the thin sheet maintained on the carrier without separation therefrom during the processing, yet be separated therefrom upon room temperature peeling force that leaves the thinner one of the thin sheet and carrier intact. Interposers (56) having arrays (50) of vias (60) may be formed on the thin sheet, and devices (66) formed on the interposers. Alternatively, the thin sheet may be a substrate on which semiconductor circuits are formed during FEOL processing.Type: GrantFiled: October 10, 2014Date of Patent: December 17, 2019Assignee: CORNING INCORPORATEDInventors: Darwin Gene Enicks, John Tyler Keech, Aric Bruce Shorey, Windsor Pipes Thomas, III
-
Patent number: 10510577Abstract: A method of processing an engineered substrate structure includes providing an engineered substrate structure including a polycrystalline substrate and an engineered layer encapsulating the polycrystalline substrate, forming a sacrificial layer coupled to the engineered layer, joining a solid state device structure to the sacrificial layer, forming one or more channels in the solid state device structure by removing one or more portions of the solid state device structure to expose one or more portions of the sacrificial layer, flowing an etching chemical through the one or more channels to the one or more exposed portions of the sacrificial layer, and dissolving the sacrificial layer by interaction between the etching chemical and the sacrificial layer, thereby separating the engineered substrate structure from the solid state device structure.Type: GrantFiled: May 8, 2018Date of Patent: December 17, 2019Assignee: Qromis, Inc.Inventors: Vladimir Odnoblyudov, Cem Basceri
-
Patent number: 10510578Abstract: A protective film forming film 1 is provided in which the product of the breaking stress (MPa) measured at a measurement temperature of 0° C. and the breaking strain (unit: %) measured at a measurement temperature of 0° C. in at least one of the protective film forming film 1 and a protective film formed from the protective film forming film 1 is in a range of 1 MPa·% to 250 MPa·%. According to such a protective film forming film 1, the protective film forming film 1 or the protective film formed from the protective film forming film 1 can be suitably divided in an expanding process performed on a workpiece when the workpiece is divided to obtain a work product.Type: GrantFiled: September 3, 2014Date of Patent: December 17, 2019Assignee: Lintec CorporationInventors: Naoya Saiki, Daisuke Yamamoto, Hiroyuki Yoneyama, Youichi Inao
-
Patent number: 10510579Abstract: The present invention relates to an adhesive resin composition for a semiconductor, including: a (meth)acrylate-based resin including a (meth)acrylate-based repeating unit containing an epoxy-based functional group and a (meth)acrylate-based repeating unit containing an aromatic functional group, the (meth)acrylate-based resin having a hydroxyl equivalent weight of 0.15 eq/kg or less; a curing agent including a phenol resin having a softening point of 100° C. or higher; and an epoxy resin, wherein the content of a (meth)acrylate-based functional group containing an aromatic functional group in the (meth)acrylate-based resin is 2 to 40% by weight, an adhesive film for a semiconductor including the above adhesive resin composition for a semiconductor, a dicing die bonding film including an adhesive layer including the adhesive film for a semiconductor, and a method for dicing a semiconductor wafer using the dicing die bonding film.Type: GrantFiled: September 22, 2017Date of Patent: December 17, 2019Assignee: LG CHEM, LTD.Inventors: Hee Jung Kim, Jung Hak Kim, Kwang Joo Lee
-
Patent number: 10510580Abstract: An embodiment method includes depositing a first dielectric film over and along sidewalls of a semiconductor fin, the semiconductor fin extending upwards from a semiconductor substrate. The method further includes depositing a dielectric material over the first dielectric film; recessing the first dielectric film below a top surface of the semiconductor fin to define a dummy fin, the dummy fin comprising an upper portion of the dielectric material; and forming a gate stack over and along sidewalls of the semiconductor fin and the dummy fin.Type: GrantFiled: August 16, 2018Date of Patent: December 17, 2019Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.Inventors: Chin-Hsiang Lin, Keng-Chu Lin, Shwang-Ming Jeng, Teng-Chun Tsai, Tsu-Hsiu Perng, Fu-Ting Yen
-
Patent number: 10510581Abstract: The benefits of strained semiconductors are combined with silicon-on-insulator approaches to substrate and device fabrication.Type: GrantFiled: January 6, 2017Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Thomas A. Langdo, Matthew T. Currie, Richard Hammond, Anthony J. Lochtefeld, Eugene A. Fitzgerald
-
Patent number: 10510582Abstract: A substrate includes a polycrystalline ceramic core; a first adhesion layer encapsulating the polycrystalline ceramic core; a conductive layer encapsulating the first adhesion layer; a second adhesion layer encapsulating the conductive layer; a barrier layer encapsulating the second adhesion layer, and a bonding layer coupled to the barrier layer, and a substantially single crystalline silicon layer coupled to the bonding layer.Type: GrantFiled: June 13, 2017Date of Patent: December 17, 2019Assignee: Qromis, Inc.Inventors: Vladimir Odnoblyudov, Cem Basceri, Shari Farrens
-
Patent number: 10510583Abstract: The disclosed method is suitable for producing a SiGe-on-insulator structure. According to some embodiments of the method, a layer comprising SiGe is deposited on silicon-on-insulator substrate comprising an ultra-thin silicon top layer. In some embodiments, the layer comprising SiGe is deposited by epitaxial deposition. In some embodiments, the SiGe epitaxial layer is high quality since it is produced by engineering the strain relaxation at the Si/buried oxide interface. In some embodiments, the method accomplishes elastic strain relaxation of SiGe grown on a few monolayer thick Si layer that is weakly bonded to the underline oxide.Type: GrantFiled: May 10, 2019Date of Patent: December 17, 2019Assignee: GlobalWafers Co., Ltd.Inventors: Gang Wang, Shawn George Thomas
-
Patent number: 10510584Abstract: A method includes forming a dielectric layer, forming a photo resist over the dielectric layer, forming a first mask layer over the photo resist, and forming a second mask layer over the first mask layer. A first-photo-first-etching is performed to form a first via pattern in the second mask layer, wherein the first-photo-first-etching stops on a top surface of the first mask layer. A second-photo-second-etching is performed to form a second via pattern in the second mask layer, wherein the second-photo-second-etching stops on the top surface of the first mask layer. The first mask layer is etched using the second mask layer as an etching mask. The photo resist and the dielectric layer are etched to simultaneously transfer the first via pattern and the second via pattern into the dielectric layer.Type: GrantFiled: July 1, 2019Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Jung-Hau Shiu, Chung-Chi Ko, Tze-Liang Lee, Wen-Kuo Hsieh, Yu-Yun Peng
-
Patent number: 10510585Abstract: A method includes forming a carbon-containing layer with a carbon atomic percentage greater than about 25 percent over a first hard mask layer, forming a capping layer over the carbon-containing layer, forming a first photo resist over the capping layer, and etching the capping layer and the carbon-containing layer using the first photo resist as a first etching mask. The first photo resist is then removed. A second photo resist is formed over the capping layer. The capping layer and the carbon-containing layer are etched using the second photo resist as a second etching mask. The second photo resist is removed. A third photo resist under the carbon-containing layer is etched using the carbon-containing layer as etching mask. A dielectric layer underlying the third photo resist is etched to form via openings using the third photo resist as etching mask. The via openings are filled with a conductive material.Type: GrantFiled: May 16, 2017Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chun-Kai Chen, Jung-Hau Shiu, Chia Cheng Chou, Chung-Chi Ko, Tze-Liang Lee, Chih-Hao Chen, Shing-Chyang Pan
-
Patent number: 10510586Abstract: An embodiment is a method of fabricating a semiconductor structure. The method includes depositing a hard mask. A multi-layer structure is deposited over the hard mark. The multi-layer structure includes a bottom layer, a first middle layer over the bottom layer, a second middle layer over the first middle layer, and a top layer over the second middle layer. The first middle layer comprises a SiCxHyOz material in which the SiCxHyOz material has a silicon-to-silicon bond content in a range from about 0.5% to about 5%. The multi-layer structure is patterned to form a patterned first middle layer having openings. The hard mask is etched through the openings in the patterned first middle layer.Type: GrantFiled: September 7, 2018Date of Patent: December 17, 2019Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Joung-Wei Liou, Chin Kun Lan
-
Patent number: 10510587Abstract: A method for manufacturing a semiconductor device includes forming a structure protruding from a substrate, forming a dielectric layer covering the structure, forming a dummy layer covering the dielectric layer, and performing a planarization process to completely remove the dummy layer. A material of the dummy layer has a slower removal rate to the planarization process than a material of the dielectric layer.Type: GrantFiled: February 26, 2018Date of Patent: December 17, 2019Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.Inventors: Wei-Chieh Huang, Chin-Wei Liang, Feng-Jia Shiu, Hsia-Wei Chen, Jieh-Jang Chen, Ching-Sen Kuo
-
Patent number: 10510588Abstract: An interconnection structure includes a first dielectric layer, a bottom conductive feature present in the first dielectric layer, a second dielectric layer present on the first dielectric layer, an aluminum-containing etch stop layer present between the first dielectric layer and the second dielectric layer, an upper conductive via present at least in the second dielectric layer and electrically connected to the bottom conductive feature, and at least one aluminum-containing fragment present at least at a bottom corner of the upper conductive via.Type: GrantFiled: December 4, 2017Date of Patent: December 17, 2019Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITEDInventors: Chung-Wen Wu, Shiu-Ko Jangjian, Chien-Wen Chiu, Chien-Chung Chen