Patents Issued in February 20, 2024
  • Patent number: 11908635
    Abstract: To reduce the occurrence of capacitor mounting failure on a circuit board in the solder reflow process, the capacitor element is housed in the bottomed cylindrical outer case and sealed with a sealing member the open end of the outer case, the lead terminal derived from the capacitor element is formed through the sealing member a capacitor body, a base having a bottom surface portion and a side wall formed so as to surround the outer periphery of the capacitor body with a through hole through which the lead terminal penetrates, a capacitor having a convex portion protruding toward the capacitor body from the inner surface of the side wall, the caulking portion formed by caulking the side surface of the outer case the convex portion is disposed, providing a gap portion between the caulking portion and the convex portion.
    Type: Grant
    Filed: May 1, 2020
    Date of Patent: February 20, 2024
    Assignee: NIPPON CHEMI-CON CORPORATION
    Inventor: Kentaro Kobayashi
  • Patent number: 11908636
    Abstract: Method for making a gas diffusion layer for an electrode, the method including processing quartz wool with water in a blender to form a suspension, filtering the suspension to remove water and contaminants, to form a cake of entangled quartz fibres, annealing the cake of entangled quartz fibres without complete melting of the fibres to obtain a porous quartz felt having pore size greater than 1 ?m and coating the porous quartz felt with a conductive material. Gas diffusion layer for an electrode and photoelectrode including the gas diffusion layer.
    Type: Grant
    Filed: December 13, 2022
    Date of Patent: February 20, 2024
    Assignee: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Hannah Johnson, Sachin Kinge, Marina Caretti, Jun-Ho Yum, Kevin Sivula, Elizaveta Mensi
  • Patent number: 11908637
    Abstract: Systems and methods for providing a replacement touchless button for initiating an action upon proximate detection of a solid object near the top of the touchless button. The action is triggered by determining that the solid object is within a predetermined distance from the top of the button. The action is accomplished by the closing of an electrical circuit within the touchless button, the button being configured to replace a push button without modification of a panel housing the push button. The button contains and supports, within its structure, all electrical and physical components needed to operate a device that can be triggered via a push button. The button serves as a direct replacement for a push button with no modifications to existing systems or controls regularly triggered by a push button.
    Type: Grant
    Filed: January 14, 2022
    Date of Patent: February 20, 2024
    Assignee: Smithsonian Institution
    Inventors: Timothy Pula, Geoffrey Moore
  • Patent number: 11908638
    Abstract: An illumination system and method are disclosed for maintaining a consistent change in illumination value among a group of illumination devices whenever a change command is manually sent from a keypad to those illumination devices. The consistent change results from maintaining a common start illumination value among not only the group, but also the keypad which controls the group. From the start illumination value, the keypad can then compute an end illumination value depending upon the amount of time that an increase or decrease in illumination value button is depressed and held. Since the change command can arrive at different illumination devices within the group depending upon where each illumination device is geographically located, a masking time is reserved after the button is released so that enough time is allocated for each of the illumination devices within that group to arrive at a common end illumination value.
    Type: Grant
    Filed: January 30, 2023
    Date of Patent: February 20, 2024
    Assignee: Lutron Technology Company LLC
    Inventors: Mark Quilling, Rebecca Frank, Kenneth Priester
  • Patent number: 11908639
    Abstract: A character key device includes a bottom plate, a backlight module, a film module, a support module and a key cap. The backlight module includes a metal plate, an insulating layer, a backlight circuit and a light emitter. The metal plate includes a metal plate body, and a plurality of pivot seats extending upwardly from the metal plate body. The film module is disposed on the backlight circuit. The film module defines at least one accommodating space adjacent to the backlight circuit and allowing the at least one light emitter to extend therein. The film module is pressable to generate a trigger signal. The support module includes a support disposed on the film module and connected to the pivot seats of the metal plate, and an elastomer disposed on the film module. The key cap is disposed on the support module.
    Type: Grant
    Filed: January 4, 2023
    Date of Patent: February 20, 2024
    Assignee: Sunrex Technology Corp.
    Inventor: Chih-Po Ku
  • Patent number: 11908640
    Abstract: A push switch contains a case including a containing portion and a sealing groove; a pair of contacts disposed in the containing portion so as to be spaced apart from each other; a movable contact which is disposed above the pair of contacts in the containing portion; a cap including a base portion disposed on the case and a sealing protrusion protruding from a peripheral edge portion of the base portion toward a lower side for liquid-tightly sealing the sealing groove of the case; and a cover attached to the case from an upper side so as to hold the cap on the case. The sealing protrusion of the cap is compressively deformed in the sealing groove of the case, and thereby the sealing groove of the case is liquid-tightly sealed.
    Type: Grant
    Filed: July 15, 2022
    Date of Patent: February 20, 2024
    Assignee: MITSUMI ELECTRIC CO., LTD.
    Inventors: Yoichi Yasunaga, Takashi Kawamura
  • Patent number: 11908642
    Abstract: A drive system drives a switch. The drive system includes: a drive shaft connecting the drive system to the switch; a motor configured to drive the drive shaft; a feedback system; and a controller. The feedback system is configured to: determine at least one value for a position of the drive shaft; and generate a feedback signal based on the at least one value. The controller acts on an operation of the motor depending on the feedback signal.
    Type: Grant
    Filed: April 23, 2020
    Date of Patent: February 20, 2024
    Assignee: MASCHINENFABRIK REINHAUSEN GMBH
    Inventors: Kathrin Pruessing, Michael Schmeisser, Juergen Schimbera, Eduard Zerr, Sebastian Schmid, Klaus Ixmeier, Benjamin Dittmann, Eugen Nagel, Franz Habenschaden
  • Patent number: 11908643
    Abstract: The application relates to a spring mechanism for a dual power transfer switch and a dual power transfer switch. The spring mechanism includes: a base mounted on a housing of the dual power transfer switch; and a spring mounted on the base. The spring includes a first leg configured to contact and exert a pressure on an indicator of the dual power transfer switch, so as to generate a frictional force between the first leg and the indicator and reduce a rebound of the indicator upon the indicator moving between a first indicating position and an intermediate indicating position, the first indicating position indicates that the dual power transfer switch is in a first power-on position, and the intermediate indicating position indicates that the dual power transfer switch is in a dual-off position.
    Type: Grant
    Filed: April 22, 2022
    Date of Patent: February 20, 2024
    Assignee: Schneider Electric Industries SAS
    Inventors: Junjie Zhou, Xi Xie, Xiaoqin Wang
  • Patent number: 11908644
    Abstract: A system for collecting information related to an electrical switch. The system including a first switch, a second switch, and an external device. The external device is configured to receive the first and second signals corresponding to the first and second switches. Wherein the external device is located remotely from the first switch and the second switch.
    Type: Grant
    Filed: November 23, 2021
    Date of Patent: February 20, 2024
    Assignee: Hubbell Incorporated
    Inventors: David Rhein, Angelo Rizzo
  • Patent number: 11908645
    Abstract: Systems and methods for improving control of an internal arc fault in equipment. The equipment includes a bus configured to provide three-phase power from an incoming line. Furthermore, the equipment includes a current loop formed from a first conductor and a second conductor, where current is received from the bus. The current loop uses electromagnetic forces of a short-circuit current caused by an internal arcing fault of the equipment to move the first and second conductors relative to each other. In response to the movement of the first and second conductors, the current loop creates a gap between the first and second conductors where a new arc ignites at the gap. In this manner, the loop design takes advantage of the natural electromagnetic force to reduce the arc energy at the point of initiation and relocates the energy release point to an exhaust vent of the equipment.
    Type: Grant
    Filed: August 3, 2022
    Date of Patent: February 20, 2024
    Assignee: Powell Electrical Systems, Inc.
    Inventors: Matthew C. Nunn, Michael W. Wactor
  • Patent number: 11908646
    Abstract: A device for switching a medium-voltage electrical circuit, including: a vacuum interrupter including a mobile electrode, an actuating lever linked to the mobile electrode and mobile between an opening position and a closing position, an insulator linked to the actuating lever, a control fitting secured to the mobile electrode, and an elastic return, for example, a spring, exerting a return force between the control fitting and the insulator, wherein a travel of displacement of the actuating lever is greater than the opening distance such that the control fitting is distanced from the insulator when the actuating lever is in the closing position. The switching device further including an indicator stem secured to the control fitting, which is configured to extend at least partly out of the insulator when the actuating lever is in the closing position.
    Type: Grant
    Filed: June 10, 2022
    Date of Patent: February 20, 2024
    Assignee: Schneider Electric Industries SAS
    Inventors: Jean-Pierre Meley, Said Attak, Frédéric Toti-Buttin, Philippe Picot
  • Patent number: 11908647
    Abstract: A disconnect has a housing defining a cavity, a busbar fixed in the housing and extending through the cavity, a piston movable in the cavity past the piston for breaking a piece out of the busbar, and a plurality of metallic filter elements exposed in the cavity below the busbar and electrically connecting with the piece on activation of the disconnect. An igniter displaces the piston in the cavity into engagement with and past the busbar so as to break the piece out of busbar and displace it past the filter elements such that an arc created by breaking out the piece is drawn by the piece away from the busbar and energy of the arc heats and is dissipated in the filter elements.
    Type: Grant
    Filed: July 10, 2020
    Date of Patent: February 20, 2024
    Assignee: ASTOTEC AUTOMOTIVE GMBH
    Inventors: Dietmar Haba, Ludwig Marker, Kurt Aigner
  • Patent number: 11908648
    Abstract: A switch includes: a first stationary contact having a first stationary contact point; a second stationary contact having a second stationary contact point; a movable contact having a first movable contact, and a second movable contact point; a first magnet pair defined by magnets having surfaces facing each other, the magnets of the first magnet pair being disposed with the first stationary contact point and the first movable contact point therebetween in such a manner that the magnets of the first magnet pair become farther from each other outwardly; and a second magnet pair defined by magnets having surfaces facing each other, the magnets of the second magnet pair being disposed with the second stationary contact point and the second movable contact point therebetween in such a manner that the magnets of the second magnet pair become farther from each other outwardly.
    Type: Grant
    Filed: December 2, 2020
    Date of Patent: February 20, 2024
    Assignee: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Katsuki Hotta, Shinya Watanabe, Takashi Inaguchi, Katsutoshi Ikarashi
  • Patent number: 11908649
    Abstract: An actuator, which is a part of a combination that includes a pair of circuit interrupters, advantageously employs a plurality of Thomson coils that are electrically connected in parallel and that interact with a corresponding set of Thomson plates of a rotatable armature in order to perform useful work in a rapid fashion. In one embodiment, the useful work is to commutate current from one circuit interrupter to the other.
    Type: Grant
    Filed: October 21, 2021
    Date of Patent: February 20, 2024
    Assignee: EATON INTELLIGENT POWER LIMITED
    Inventors: Jayaraman Muniyappan, Andrew L. Gottschalk, Robert Michael Slepian
  • Patent number: 11908650
    Abstract: An electromagnetic relay includes a first fixed terminal including a first fixed contact, a second fixed terminal including a second fixed contact, a movable contact piece including first and second contacts, a drive device including a coil, a case, and a magnet. The case includes an accommodation space accommodating the first fixed contact, the second fixed contact, and the movable contact piece, and a first arc extension space at least partially disposed between the coil and the magnet. The magnet is disposed so as to overlap with the coil in a third direction orthogonal to the moving direction and a longitudinal direction of the movable contact piece. The magnet configured to extend a first arc generated between the first fixed contact and the first movable contact and a second arc generated between the second fixed contact and the second movable contact in the longitudinal direction of the movable contact piece.
    Type: Grant
    Filed: June 23, 2022
    Date of Patent: February 20, 2024
    Assignee: OMRON CORPORATION
    Inventors: Naoki Kawaguchi, Takeshi Nishida, Kazuki Furukawa, Takashi Tsukada
  • Patent number: 11908651
    Abstract: The present invention discloses an intelligent circuit breaker capable of automatically releasing and tripping in power failure, with an electromagnetic force capable of controllably changing a current direction arranged between a permanent magnetic field force and a mechanical spring force, and three forces are designed on a straight line, the permanent magnet static iron core, the electromagnetic moving iron core and the electromagnetic coil are respectively fixed on the electromagnetic coil framework through a first shaft hole, a second shaft hole and a wire slot, a tripping energy storage spring is sleeved on the tripping transmission rod, a permanent magnet attraction reset shifting fork is arranged in a shifting groove of the tripping transmission rod, and one end of the tripping transmission rod is provided with a tripping connecting rod.
    Type: Grant
    Filed: March 18, 2020
    Date of Patent: February 20, 2024
    Assignee: TIANJIN JMT ELECTRIC EQUIPMENT CO., LTD
    Inventor: Kecheng Wang
  • Patent number: 11908652
    Abstract: A signaling device adapted to be electrically coupled to an electric potential applied to terminals of a fuse element or to clips of a fuse holder. The signaling device is adapted to signal to the outside at least one property of the fuse element. In addition, the signaling device includes at least one transmission layer having an electrically controllable transmission factor.
    Type: Grant
    Filed: February 19, 2019
    Date of Patent: February 20, 2024
    Assignee: SCHURTER AG
    Inventors: Christian Beyer, Rolf Nussbaumer, Bruno Zemp, Thomas Burch, Stephan Bitterli, Markus Beer, Cyrill Disler
  • Patent number: 11908653
    Abstract: A high voltage power distributor comprises an insulated high voltage power cable, a fuse mechanically connected to a fuse holder and a busbar that is electrically connected between the cable and the fuse. The fuse, the fuse holder, the busbar and the cable are accommodated in a housing.
    Type: Grant
    Filed: February 25, 2022
    Date of Patent: February 20, 2024
    Assignee: Aptiv Technologies AG
    Inventors: Pawel Olek, Jakub Walkowski, Tomasz Slizowski, Karol Janicki
  • Patent number: 11908654
    Abstract: To realize both toughness and size reduction of a safety switch. A safety switch is a safety switch into and from which a bolt of an actuator is inserted and pulled out. The safety switch includes a casing having a shape elongated along a first direction, a metal head having a receiving space for receiving the bolt of the actuator and provided on a first end side of the casing in the first direction, a detector for detecting a first state in which the bolt is received in the receiving space, a lock mechanism, a switching device and a first attachment portion provided in the head and having a first attachment hole into which a first attachment member is inserted.
    Type: Grant
    Filed: December 9, 2022
    Date of Patent: February 20, 2024
    Assignee: KEYENCE CORPORATION
    Inventors: Choryon Oh, Yu Babasaki, Lye Tatt Cheah
  • Patent number: 11908655
    Abstract: A workstation is described for mounting specimens into a cryotransfer holder at cryogenic temperature. The workstation allows rotation about the cryotransfer holder axis to improve access to the sample placement area on the holder and to facilitate easy removal and retrieval of the sample after imaging. The cryotransfer holder includes a cylindrical dewar configured to maintain a constant center of mass about the holder axis regardless of orientation of the dewar.
    Type: Grant
    Filed: October 27, 2020
    Date of Patent: February 20, 2024
    Assignee: GATAN, INC.
    Inventors: Alexander Jozef Gubbens, John Andrew Hunt, Masoud Azimi, Radosav Pantelic, Ron Zolkowski, Chris Booth, Andrew Alan Abbott
  • Patent number: 11908656
    Abstract: A stage apparatus for a particle-beam apparatus is disclosed. A particle beam apparatus may comprise a conductive object and an object table, the object table being configured to support an object. The object table comprises a table body and a conductive coating, the conductive coating being provided on at least a portion of a surface of the table body. The conductive object is disposed proximate to the conductive coating and the table body is provided with a feature proximate to an edge portion of the conductive coating. Said feature is arranged so as to reduce an electric field strength in the vicinity of the edge portion of the conductive coating when a voltage is applied to both the conductive object and the conductive coating.
    Type: Grant
    Filed: October 8, 2021
    Date of Patent: February 20, 2024
    Assignee: ASML Netherlands B.V.
    Inventors: Han Willem Hendrik Severt, Jan-Gerard Cornelis Van Der Toorn, Ronald Van Der Wilk, Allard Eelco Kooiker
  • Patent number: 11908657
    Abstract: A scanning electron microscope device for a sample to be detected and an electron beam inspection apparatus are provided, the scanning electron microscope device being configured to project electron beam to a surface of the sample to generate backscattered electrons and secondary electrons, and comprising: an electron beam source, a deflection mechanism, and an objective lens assembly. The deflection mechanism comprises a first deflector located downstream the electron beam source and a second deflector located downstream the first deflector.
    Type: Grant
    Filed: December 28, 2021
    Date of Patent: February 20, 2024
    Assignee: Zhongke Jingyuan Electron Limited
    Inventors: Qinglang Meng, Weiqiang Sun
  • Patent number: 11908658
    Abstract: A scanning electron microscope device for a sample to be detected and an electron beam inspection apparatus are provided, the scanning electron microscope device being configured to project electron beam to a surface of the sample to generate backscattered electrons and secondary electrons, and comprising: an electron beam source, a deflection mechanism, and an objective lens assembly. The deflection mechanism comprises a first deflector located downstream the electron beam source and a second deflector located downstream the first deflector.
    Type: Grant
    Filed: December 23, 2021
    Date of Patent: February 20, 2024
    Assignee: Zhongke Jingyuan Electron Limited
    Inventors: Qinglang Meng, Weiqiang Sun
  • Patent number: 11908659
    Abstract: In one embodiment, a multi charged particle beam writing apparatus includes a blanking aperture array substrate provided with a plurality of blankers configured to respectively perform blanking deflection on a plurality of charged particle beams included in a multi-beam, and a first shield member which is disposed downstream of the blanking aperture array substrate with respect to a travel direction of the multi-beam, has a cylindrical part in which the multi-beam passes through, and is composed of a high magnetic permeability material.
    Type: Grant
    Filed: June 8, 2022
    Date of Patent: February 20, 2024
    Assignee: NuFlare Technology, Inc.
    Inventors: Toshiki Kimura, Hirofumi Morita, Takanao Touya, Mitsuhiro Okazawa
  • Patent number: 11908660
    Abstract: A method for optimizing delivery of power to a plasma chamber is described. The method includes dividing each cycle of a low frequency (LF) radio frequency generator (RFG) into multiple time intervals. During each of the time intervals, a frequency offset of a high frequency (HF) RFG is generated for which the delivery of power is maximized. The frequency offsets provide a substantially inverse relationship compared to a voltage signal of the LF RFG for each cycle of the voltage signal. The frequency offsets for the time intervals are multiples of the low frequency. The substantially inverse relationship facilitates an increase in the delivery of power to the electrode. A total range of the frequency offsets from a reference HF frequency over the LF RF cycle depends on a power ratio of power that is supplied by the LF RFG and power that is supplied by the HF RFG.
    Type: Grant
    Filed: March 9, 2022
    Date of Patent: February 20, 2024
    Assignee: Lam Research Corporation
    Inventors: Ranadeep Bhowmick, John Holland, Felix Leib Kozakevich, Bing Ji, Alexei Marakhtanov
  • Patent number: 11908661
    Abstract: The present disclosure relates to apparatus and methods that manipulate the amplitude and phase of the voltage or current of an edge ring. The apparatus includes an electrostatic chuck having a chucking electrode embedded therein for chucking a substrate to the electrostatic chuck. The apparatus further includes a baseplate underneath the substrate to feed power to the substrate. The apparatus further includes an edge ring disposed over the electrostatic chuck. The apparatus further includes an edge ring electrode located underneath the edge ring. The apparatus further includes a circuit including a first variable capacitor coupled to the edge ring electrode.
    Type: Grant
    Filed: June 17, 2022
    Date of Patent: February 20, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Linying Cui, James Rogers
  • Patent number: 11908662
    Abstract: Embodiments described herein relate to apparatus and techniques for radio frequency (RF) phase control in a process chamber. A process volume is defined in the process chamber by a faceplate electrode and a support pedestal. A grounding bowl is disposed within the process chamber about the support pedestal opposite the process volume. The grounding bowl substantially fills a volume other than the process volume below the support pedestal. A phase tuner circuit is coupled to an RF mesh disposed in the support pedestal and the faceplate electrode. The tuner circuit adjusts a phase difference between a phase of the faceplate electrode and a phase of the RF mesh.
    Type: Grant
    Filed: October 24, 2019
    Date of Patent: February 20, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Xiaopu Li, Kallol Bera, Edward P. Hammond, IV, Jonghoon Baek, Amit Kumar Bansal, Jun Ma, Satoru Kobayashi
  • Patent number: 11908663
    Abstract: Provided is a plasma processing apparatus capable of suppressing abnormal discharge. The plasma processing apparatus includes: an upper electrode and a lower electrode which are disposed inside a processing container so as to face each other inside the processing container; and a dielectric shower for gas introduction disposed below the upper electrode, wherein the plasma processing apparatus generates plasma in a space between the upper electrode and the lower electrode. The upper electrode includes: at least one slot configured to introduce VHF waves into the processing container; and a gas flow path provided independently of the at least one slot and in communication with the dielectric shower.
    Type: Grant
    Filed: November 26, 2019
    Date of Patent: February 20, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Taro Ikeda, Toshifumi Kitahara
  • Patent number: 11908664
    Abstract: A plasma processing apparatus includes a stage for supporting a target object in a chamber defined by a chamber body. The stage includes a lower electrode, an electrostatic chuck provided on the lower electrode, heaters provided in the electrostatic chuck, and terminals electrically connected to the heaters. A conductor pipe electrically connects a high frequency power supply and the lower electrode and extends from the lower electrode to the outside of the chamber body. Power supply lines supply power from a heater controller to the heaters. Filters partially forming the power supply lines prevent the inflow of high frequency power from the heaters to the heater controller. The power supply lines include wirings which respectively connect the terminals and the filters and extend to the outside of the chamber body through an inner bore of the conductor pipe.
    Type: Grant
    Filed: November 4, 2022
    Date of Patent: February 20, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Naohiko Okunishi, Nozomu Nagashima, Tomoyuki Takahashi
  • Patent number: 11908665
    Abstract: A disclosed plasma processing apparatus includes a chamber, a substrate support, an electric path, and a measuring device. The substrate support is accommodated in the chamber. The electric path is coupled to or capacitively coupled to an edge ring on the substrate support. The measuring device measures an electrical characteristic value of the edge ring with a voltage applied to the edge ring on the substrate support through the electric path. The electrical characteristic value measured by the measuring device is variable in accordance with a thickness of the edge ring.
    Type: Grant
    Filed: November 20, 2020
    Date of Patent: February 20, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Chishio Koshimizu, Manabu Iwata
  • Patent number: 11908666
    Abstract: A plasma processing apparatus includes a plasma processing chamber; a base disposed in the plasma processing chamber; an electrostatic chuck, disposed on the base, having a substrate support portion and an edge ring support portion on which an edge ring is disposed so as to surround a substrate; a first clamping electrode disposed in the substrate support portion; a first bias electrode disposed below the first clamping electrode in the substrate support portion; a second clamping electrode disposed in the edge ring support portion; a second bias electrode disposed below the second clamping electrode in the edge ring support portion; a first power source electrically connected to the first bias electrode; and a second power source electrically connected to the second bias electrode.
    Type: Grant
    Filed: August 8, 2022
    Date of Patent: February 20, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yasuharu Sasaki, Tsuguto Sugawara, Shin Yamaguchi, Hajime Tamura
  • Patent number: 11908667
    Abstract: The present disclosure relates to a ceramic susceptor. The ceramic susceptor of the present disclosure includes: an insulating plate on which a high-frequency electrode is disposed; a shaft connected to the insulating plate; a connection mount connected to a longitudinal end of the shaft; a first rod and a second rod, which are connected to the high-frequency electrode, pass through the longitudinal end of the shaft, and extend to the connection mount; and a connection member disposed in the connection mount, wherein the connection member connects the first rod to the second rod.
    Type: Grant
    Filed: April 19, 2022
    Date of Patent: February 20, 2024
    Assignee: MICO CERAMICS LTD.
    Inventors: Ju Sung Lee, Haneum Bae
  • Patent number: 11908668
    Abstract: An apparatus for obtaining ion energy distribution, IED, measurements in a plasma processing system, in one example, comprising a substrate for placement in the plasma processing system and exposed to the plasma, an ion energy analyser disposed in the substrate for measuring the ion energy distribution at the substrate surface during plasma processing, the analyser comprising a first conductive grid, a second conductive grid, a third conductive grid, a fourth conductive grid, and a collection electrode, each grid separated by an insulation layer, a battery power supply and control circuitry, integrated in the substrate, for supplying and controlling voltage to each of the grids and the collector of the ion energy analyser; wherein at least one insulation layer includes a peripheral portion which is of reduced thickness with respect to the remaining portion of the insulation layer.
    Type: Grant
    Filed: September 6, 2021
    Date of Patent: February 20, 2024
    Assignee: IMPEDANS LTD
    Inventors: Paul Scullin, James Doyle, JJ Lennon, David Gahan, Tigran Poghosyan
  • Patent number: 11908669
    Abstract: The present disclosure provides systems and methods of controlling a magnetically confined plasma sputtering process using the waste heat transferred from the plasma into the target material and then into thermally controlled magnetic field adjustment assemblies that modify the strength of the plasma confinement magnetic fields on the target material.
    Type: Grant
    Filed: January 7, 2022
    Date of Patent: February 20, 2024
    Assignee: Arizona Thin Film Research, LLC
    Inventor: Patrick Morse
  • Patent number: 11908670
    Abstract: A method of performing mass spectrometry includes accessing a series of mass spectra of detected ions derived from components eluting from a chromatography column; obtaining, based on the series of mass spectra, an elution profile including a plurality of detection points representing intensity of at least a set of the detected ions as a function of time; and determining, based on a set of detection points included in the plurality of detection points, a predicted next detection point of the elution profile to be obtained based on a next mass spectrum to be acquired subsequent to acquisition of the series of mass spectra.
    Type: Grant
    Filed: May 16, 2022
    Date of Patent: February 20, 2024
    Assignee: Thermo Finnigan LLC
    Inventors: Philip M. Remes, Jesse D. Canterbury
  • Patent number: 11908671
    Abstract: An ion analyzer includes a reaction chamber into which precursor ions derived from a sample component are introduced, a radical irradiation unit that generates and emits a predetermined type of radicals, a standard substance supply unit that individually supplies kinds of standard substances to the reaction chamber, where activation energy of radical addition reaction is known for each of the kinds of standard substances, and the activation energies are different in magnitude, an ion measurement unit that measures an amount of predetermined product ions generated from precursor ions derived from the standard substance by irradiation with the radicals, and a radical temperature calculation unit that obtains an amount of radicals that caused the radical addition reaction from the amount of the predetermined product ions and obtains a radical temperature based on a relationship between the amount of the radicals obtained for each kind of standard substance and activation energy.
    Type: Grant
    Filed: April 2, 2019
    Date of Patent: February 20, 2024
    Assignees: SHIMADZU CORPORATION, NATIONAL INSTITUTE OF ADVANCED INDUSTRIAL SCIENCE AND TECHNOLOGY
    Inventors: Hidenori Takahashi, Daiki Asakawa
  • Patent number: 11908672
    Abstract: An ion source ionizes a compound, producing precursor ions with different m/z values. A reagent source supplies charge reducing reagent. An ion guide is positioned between a mass filter and both the ion source and the reagent source. The ion guide applies an AC voltage and DC voltage to its electrodes that creates a pseudopotential to trap the precursor ions in the ion guide below a threshold m/z. This AC voltage, in turn, causes the trapped precursor ions to be charge reduced by the reagent so that m/z values of the trapped precursor ions increase to a single m/z value above the threshold m/z. The ion guide applies the DC voltage to its electrodes relative to a DC voltage applied to electrodes of the mass filter that causes the precursor ions with m/z values increased to the single m/z value to be continuously transmitted to the mass filter.
    Type: Grant
    Filed: August 15, 2019
    Date of Patent: February 20, 2024
    Assignee: DH Technologies Development Pte.Ltd.
    Inventors: Takashi Baba, Pavel Ryumin, Igor Chemushevich
  • Patent number: 11908673
    Abstract: An ion molecule reactor for generating analyte ions from analytes comprises: a) a reaction volume in which reagent ions can interact with the analytes in order to form analyte ions; b) at least one analyte inlet for introducing the analytes along an inlet path into the reaction volume whereby, preferably, the inlet path runs essentially along at least a first section of the predefined transit path in the reaction volume; c) at least one reagent ion source and/or at least one reagent ion inlet for providing reagent ions into the reaction volume; d) optionally, at least one ion guide comprising an electrode arrangement which is configured for producing an alternating electrical, magnetic and/or electromagnetic field, that allows for guiding the reagent ions and/or the analyte ions at least along a section of the predefined transit path, preferably along the whole transit path, through the reaction volume.
    Type: Grant
    Filed: October 19, 2018
    Date of Patent: February 20, 2024
    Assignee: TOFWERK AG
    Inventors: Felipe Lopez-Hilfiker, Manuel Hutterli, Marc Gonin, Carsten Stoermer, Michael Kamrath
  • Patent number: 11908674
    Abstract: The invention relates to a method for generating a layout of electrodes for an ion guide for transporting ions along an ion path, the ion guide comprising electrodes arranged in the layout of electrodes along the ion path for transporting the ions along the ion path. For generating the layout of electrodes, a layout path corresponding to said the path is assumed and the layout of electrodes is generated along the layout path. The layout of electrodes and the layout path are in reference to a global reference system, wherein the layout of electrodes includes at least two layout subunits which are arranged in succession along the layout path, wherein each one of the at least two layout subunits is of one of at least one layout subunit type. The method includes defining the at least one layout subunit type, wherein each one of the at least one layout subunit type includes type information, the type information being adopted by each layout subunit of the respective one of the at least one layout subunit type.
    Type: Grant
    Filed: May 27, 2021
    Date of Patent: February 20, 2024
    Assignee: TOFWERK AG
    Inventors: Stephan Graf, Michael Kamrath
  • Patent number: 11908675
    Abstract: An ion guide includes a plurality of curved electrodes arranged along a curved central axis. The plurality of electrodes define a curved ion guide region, with the curved ion guide region beginning at an ion entrance and ending at an ion exit. The ion guide includes an ion deflecting device configured to apply a radial DC electric field across the ion guide region and along the curved central axis. The ion guide region has a radius of curvature that varies along the curved central axis, and the radius of curvature is at a maximum at the ion entrance and decreases along the curved central axis toward the ion exit.
    Type: Grant
    Filed: February 15, 2022
    Date of Patent: February 20, 2024
    Assignee: PERKINELMER SCIENTIFIC CANADA ULC
    Inventors: Tak Shun Cheung, Chui Ha Cindy Wong, Hamid R. Badiei
  • Patent number: 11908676
    Abstract: The xenon lamp for a projector, the xenon lamp comprises a light-emitting tube and an anode and a cathode that are arranged inside the light-emitting tube so as to face each other through a gap in a first direction, the anode including: a body part and a chip part whose cross-sectional area cut along a first plane orthogonal to the first direction is smaller than the cross-sectional area of the body part, the chip part including: a first part joined to the body part, the first part protruding toward the cathode and having a tapered shape; and a second part joined to the first part, the second part protruding toward the cathode and having a shape such that an angle of inclination of an outer profile of the second part differs from an angle of inclination of an outer profile of the first part.
    Type: Grant
    Filed: July 17, 2023
    Date of Patent: February 20, 2024
    Assignee: Ushio Denki Kabushiki Kaisha
    Inventors: Masahiro Shimozato, Noriaki Takeishi
  • Patent number: 11908677
    Abstract: A gaseous tritium light source (GTLS), which has a hermetically sealed outer sleeve made of glass, more particularly borosilicate glass. A high durability and lighting intensity is produced due to the fact that at least some sections of the outer sleeve have an outer coating applied directly to the outer surface of the outer sleeve serving as a reflective layer made of a metal, wherein the outer coating has an epitaxial structure and wherein the metal has a reflectance of >70% for visible light.
    Type: Grant
    Filed: October 13, 2022
    Date of Patent: February 20, 2024
    Assignee: mb-microtec ag
    Inventor: Karsten Richter
  • Patent number: 11908678
    Abstract: Processing methods may be performed to form a filled contact hole in a mirror layer of a semiconductor substrate. The method may include forming a contact hole through a mirror layer of the semiconductor substrate by an etch process. The method may include filling the contact hole with a fill material. A portion of the fill material may overlie the mirror layer. The method may also include removing a portion of the fill material external to the contact hole by chemical mechanical polishing landing on the mirror layer.
    Type: Grant
    Filed: January 14, 2021
    Date of Patent: February 20, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Lan Yu, Benjamin D. Briggs, Tyler Sherwood, Raghav Sreenivasan, Joseph Salfelder
  • Patent number: 11908679
    Abstract: Embodiments described herein relate to oxygen cleaning chambers and a method of atomic oxygen cleaning a substrate. The oxygen cleaning chambers and method of atomic oxygen cleaning a substrate provide for generation of atomic oxygen in situ to oxidize materials on the surfaces of the substrate. The atomic oxygen cleaning chamber includes a chamber body, a chamber lid, a processing volume defined by the chamber body and the chamber lid, an UV radiation generator including one or more UV radiation sources, a pedestal disposed in the processing volume, and a gas distribution assembly. The pedestal has a processing position corresponding to a distance from the UV radiation generator to an upper surface of the pedestal. The gas distribution assembly is configured to be connected to an ozone generator to distribute ozone over the upper surface of the pedestal.
    Type: Grant
    Filed: August 30, 2019
    Date of Patent: February 20, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Banqiu Wu, Eli Dagan
  • Patent number: 11908680
    Abstract: A substrate processing method includes a first process of supplying an etching liquid to a peripheral portion of a substrate while rotating the substrate having a metal polycrystalline film formed on a front surface thereof; a second process of supplying a rinse liquid to a portion of the substrate closer to a center side of the substrate than a supply position of the etching liquid in the first process while rotating the substrate; a third process of supplying the etching liquid to the peripheral portion of the substrate while rotating the substrate; a fourth process of supplying the rinse liquid to a portion of the substrate closer to the center side of the substrate than a supply position of the etching liquid in the third process while rotating the substrate; and a fifth process of drying the substrate after the fourth process.
    Type: Grant
    Filed: December 17, 2021
    Date of Patent: February 20, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Akira Fujita, Kyosei Goto, Hiroki Aso, Daisuke Saiki
  • Patent number: 11908681
    Abstract: In accordance with some embodiments, a semiconductor fabricating system is provided. The semiconductor fabricating system includes a wafer stage and a brush assembly moveable located below the wafer stage. The brush assembly includes a base plate, an inner brush member and an outer brush member. The inner brush member is positioned on the base plate, and the outer brush member surrounds the inner brush member. Inner grooves in the inner brush member are shallower than outer grooves in the outer brush member. The semiconductor fabricating system also includes a shaft and an actuator. The shaft is connected to the base plate, and the actuator is connected to shaft. The semiconductor fabricating system further include a controller programmed to send electric signals to the actuator to drive the base plate to rotate around a rotation axis.
    Type: Grant
    Filed: July 15, 2022
    Date of Patent: February 20, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Hsuan-Ying Mai, Hui-Chun Lee
  • Patent number: 11908682
    Abstract: According to one aspect of the technique, there is provided a method of manufacturing a semiconductor device, including: (a) forming a first oxide layer by modifying a surface of a substrate at a first temperature with a plasma of a first oxygen-containing gas; and (b) forming a second oxide layer thicker than the first oxide layer by heating the substrate to a second temperature higher than the first temperature and modifying the surface of the substrate, on which the first oxide layer is formed, with a plasma of a second oxygen-containing gas.
    Type: Grant
    Filed: February 25, 2021
    Date of Patent: February 20, 2024
    Assignee: Kokusai Electric Corporation
    Inventors: Hiroto Igawa, Masanori Nakayama, Katsunori Funaki, Tatsushi Ueda, Yasutoshi Tsubota, Eiko Takami, Yuichiro Takeshima, Yuki Yamakado
  • Patent number: 11908683
    Abstract: The present application discloses a manufacturing method of a silicon nitride thin film, a thin film transistor and a display panel, the method includes following steps: providing a silane precursor into an atomic layer deposition apparatus for a preset time period, and remaining the silane precursor for a preset time period after the provision; providing an inert gas into the atomic layer deposition apparatus for a preset time period for the first time, and purging the silane precursor; providing a nitrogen supplying precursor into the atomic layer deposition apparatus for a preset time period, and remaining the nitrogen supplying precursor for a preset time period after the provision; providing the inert gas into the atomic layer deposition apparatus for a preset time period for the second time, and purging the nitrogen supplying precursor; repeating for a preset number of times the steps of providing the silane precursor, providing the inert gas for the first time, providing the nitrogen supplying precurso
    Type: Grant
    Filed: June 11, 2021
    Date of Patent: February 20, 2024
    Assignees: BEIHAI HKC OPTOELECTRONICS TECHNOLOGY CO., LTD., HKC CORPORATION LIMITED
    Inventors: En-Tsung Cho, Wanfei Yong, Je-Hao Hsu, Yuming Xia, Haijiang Yuan
  • Patent number: 11908684
    Abstract: Methods of and systems for reforming films comprising silicon nitride are disclosed. Exemplary methods include providing a substrate within a reaction chamber, forming activated species by irradiating a reforming gas with microwave radiation, and exposing substrate to the activated species. A pressure within the reaction chamber during the step of forming activated species can be less than 50 Pa.
    Type: Grant
    Filed: September 14, 2022
    Date of Patent: February 20, 2024
    Assignee: ASM IP Holding B.V.
    Inventors: Shinya Yoshimoto, Jun Yoshikawa, Toshihisa Nozawa
  • Patent number: 11908685
    Abstract: A method includes forming a gate spacer on sidewalls of a dummy gate structure disposed over a semiconductor substrate; performing a first implantation process to the gate spacer, wherein the first implantation process includes bombarding an upper portion of the gate spacer with silicon atoms; after performing the first implantation process, performing a second implantation process to the upper portion of the gate spacer, where the second implantation process includes bombarding the upper portion of the gate spacer with carbon atoms; and after performing the second implantation process, replacing the dummy gate structure with a high-k metal gate structure, wherein the replacing includes forming an interlayer dielectric (ILD) layer.
    Type: Grant
    Filed: March 15, 2021
    Date of Patent: February 20, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yi-Ruei Jhan, Han-Yu Lin, Li-Te Lin, Pinyen Lin