Temperature Responsive Patents (Class 118/666)
  • Publication number: 20090052498
    Abstract: A thermocouple for measuring temperature at a position adjacent to a substrate being processed in a chemical vapor deposition reactor is provided. The thermocouple includes a sheath having a measuring tip. The thermocouple also includes a support tube disposed within the sheath. The thermocouple further includes first and second wires supported by the support tube. The first and second wires are formed of different metals. A junction is formed between the first and second wires, wherein the junction is located adjacent to a distal end of the support tube. A spring is disposed about a portion of the support tube. The spring is compressed to exert a spring force on the support tube to bias the junction against the measuring tip to maintain the junction in continuous contact with the measuring tip. The spring force is small enough to prevent significant deformation of the junction as well as reducing variation of spring force or junction location from one thermocouple to another.
    Type: Application
    Filed: August 19, 2008
    Publication date: February 26, 2009
    Applicant: ASM AMERICA, INC.
    Inventors: Mike Halpin, Matt Goodman
  • Publication number: 20090029486
    Abstract: A substrate processing apparatus has: a process chamber in which a substrate is processed; a heating device that optically heats the substrate accommodated in the process chamber from an outer periphery side of the substrate; a cooling device that cools the outer periphery side of the substrate by flowing a fluid in a vicinity of an outer periphery of the substrate optically heated by the heating device; a temperature detection portion that detects a temperature inside the process chamber; and a heating control portion that controls the heating device and the cooling device in such a manner so as to provide a temperature difference between a center portion of the substrate and an end portion of the substrate while maintaining a temperature at the center portion at a pre-determined temperature according to the temperature detected by the temperature detection portion.
    Type: Application
    Filed: February 21, 2007
    Publication date: January 29, 2009
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Masaaki Ueno, Masakazu Shimada, Takeo Hanashima, Haruo Morikawa, Akira Hayashida
  • Publication number: 20090029047
    Abstract: Disclosed is a film-forming method characterized by comprising a step for forming a primary Cu film on a substrate by using a divalent Cu source material, and another step for forming a secondary Cu film on the primary Cu film by using a monovalent Cu source material.
    Type: Application
    Filed: March 22, 2006
    Publication date: January 29, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoki Yoshii, Koumei Matsuzawa, Yasuhiko Kojima
  • Publication number: 20090029062
    Abstract: A coil coating process includes thermally curing the coating of an endless strip by near infrared radiation in a curing oven provided with near infrared emitters and the subsequent destruction of volatile solvents contained in exhaust air of the curing oven by thermal oxidizing in an oxidizer chambers. Heat introduced into the solvent within the curing step is used in the oxidizing step.
    Type: Application
    Filed: November 27, 2006
    Publication date: January 29, 2009
    Applicant: Advanced Photonics Technologies AG
    Inventor: Kai K.O. Bar
  • Patent number: 7465358
    Abstract: Embodiments of the invention generally provide a fluid processing chamber, sensors and a controller and method for using the same. The fluid processing chamber includes an inlet region, a processing region and an outlet region. The inlet region generally contains one or more sensors and an external controller to monitor the characteristics of the processing fluid at the inlet to the processing region. The outlet region generally contains one or more sensors and an external controller to monitor the characteristics of the processing fluid leaving the processing region of the chamber. In one embodiment the processing region contains one or more sensors and an external controller to monitor the characteristics of the processing fluid in the processing region. The sensors may include, for example, an ORP probe, a temperature sensor, a conductivity sensor, a dissolved hydrogen sensor, a dissolved oxygen sensor, and a pH sensor.
    Type: Grant
    Filed: March 5, 2004
    Date of Patent: December 16, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Timothy W. Weidman, Dmitry Lubomirsky
  • Publication number: 20080282975
    Abstract: The present invention relates to a gas feed installation for depositing barrier layers in a container. According to the invention, a balancing step is carried out using a start-up feed device (70) during transient states when the operation passes from a vacuum step, then to a step of depositing a preparatory layer and finally a step of depositing an actual barrier layer on an injector (44) inside a container (42).
    Type: Application
    Filed: November 22, 2006
    Publication date: November 20, 2008
    Applicant: SIDEL PARTICIPATIONS
    Inventor: Jean-Michel Rius
  • Publication number: 20080237919
    Abstract: A method and apparatus for applying a uniform membrane coating to a substrate, such as a honeycomb structure, having a plurality of through-channels, wherein the through-channels have an average diameter of less than or equal to 3 mm. The method includes providing a liquid precursor comprising membrane-forming materials to the substrate and applying a pressure differential across the substrate. The pressure differential causes the liquid precursor to travel uniformly through the through-channels, depositing the membrane-forming materials on the walls of the through-channels and forming the membrane on the walls of the through-channels. The apparatus includes a chamber capable of holding the substrate and of maintaining a pressure differential across the plurality of through-channels.
    Type: Application
    Filed: March 29, 2007
    Publication date: October 2, 2008
    Inventors: Wei Liu, Curtis Robert Fekety, Todd P St Clair
  • Publication number: 20080242064
    Abstract: To provide a manufacturing method of a semiconductor device capable of performing a selective growth at a low temperature. A manufacturing method of a semiconductor device for placing in a processing chamber a substrate having at least a silicon surface and an insulating film surface on a surface; and allowing an epitaxial film to selectively grow only on the silicon surface by using a substrate processing apparatus for heating an atmosphere in the processing chamber and the substrate, using a hearting unit disposed outside of the processing chamber, includes a substrate loading step of loading the substrate into the processing chamber; a pre-processing step of supplying dichlorsilane gas and hydrogen gas into the processing chamber while maintaining a temperature in the substrate processing chamber to a prescribed temperature of 700° C.
    Type: Application
    Filed: April 1, 2008
    Publication date: October 2, 2008
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yasuhiro INOKUCHI, Atsushi MORIYA, Yasuhiro OGAWA
  • Publication number: 20080230866
    Abstract: A system and method for manufacturing semiconductor wafers comprising an RFID temperature sensor and generally described herein. Other embodiments may be described and claimed.
    Type: Application
    Filed: March 20, 2007
    Publication date: September 25, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: John M. Kulp
  • Publication number: 20080233766
    Abstract: An ashing method of a target substrate is applied after plasma-etching a part of a low-k film by using a patterned resist film as a mask in a vacuum processing chamber. The method includes a process of removing the resist film in the vacuum processing chamber, and a pre-ashing process, performed prior to the main ashing process, for ashing the target substrate for a time period while maintaining the target substrate at a temperature in a range of from about 80 to 150° C.
    Type: Application
    Filed: March 20, 2008
    Publication date: September 25, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shigeru Tahara, Eiichi Nishimura, Kumiko Yamazaki
  • Patent number: 7422636
    Abstract: A plasma enhanced atomic layer deposition (PEALD) system is described, wherein the system comprises a processing space and a high vacuum, ultra-clean transfer space. During processing, the substrate to which the thin conformal film is formed is exposed to the processing space. During substrate transfer, the substrate is exposed to the high vacuum space. Processing gases are introduced sequentially and alternately to the process chamber and the pressures and gas flows within, to and from, and between the process chamber and the high vacuum transfer space are controlled to keep the transfer space ultra-clean.
    Type: Grant
    Filed: March 25, 2005
    Date of Patent: September 9, 2008
    Assignee: Tokyo Electron Limited
    Inventor: Tadahiro Ishizaka
  • Patent number: 7404860
    Abstract: The invention relates to a device and method for controlling the operation of a thermal spray torch (12). The inventive device and method are characterized in that an on-board camera (54) and pyrometer (70) are used to measure the properties of the jet (16) and the temperature of the deposit (24) on the part (22) and in that the correction to be made to supply parameters of the torch (12) is deduced. Furthermore, the invention is characterized in that the corrected parameters are sent to the cabinet (30) that controls the torch (12).
    Type: Grant
    Filed: February 28, 2003
    Date of Patent: July 29, 2008
    Assignee: Snecma Services
    Inventors: Michel Vardelle, Thierry Renault, Cédric Bossoutrot, Frédéric Braillard, Hakim Hoffmann
  • Publication number: 20080171131
    Abstract: A disclosed substrate processing apparatus comprises a heat exchange plate configured to heat and/or cool the substrate; plural protrusions provided on the heat exchange plate so as to allow the substrate to be placed on the plural protrusions, leaving a gap between the substrate and the heat exchange plate; a suction portion configured to attract the substrate onto the plural protrusion by suction through plural holes formed in the heat exchange plate; and a partition member that is provided on the heat exchange plate and lower than the plural protrusions, wherein the partition member is configured to divide the gap into two or more regions including at least one of the holes so that at least one of the two or more regions is two-dimensionally closed by the partition member.
    Type: Application
    Filed: January 16, 2008
    Publication date: July 17, 2008
    Inventors: Shouken Moro, Yasuhiro Takaki, Masatoshi Kaneda
  • Publication number: 20080132079
    Abstract: A method for using a film formation apparatus for a semiconductor process includes a first cleaning process of removing by a first cleaning gas a by-product film from an inner surface of a reaction chamber of the film formation apparatus, while supplying the first cleaning gas into the reaction chamber, and setting an interior of the reaction chamber at a first temperature and a first pressure to activate the first cleaning gas. The method further includes a second cleaning process of then removing by a second cleaning gas a contaminant from the inner surface of the reaction chamber, while supplying the second cleaning gas into the reaction chamber, and setting the interior of the reaction chamber at a second temperature and a second pressure to activate the second cleaning gas. The second cleaning gas includes a chlorine-containing gas.
    Type: Application
    Filed: October 2, 2007
    Publication date: June 5, 2008
    Inventors: Mitsuhiro Okada, Satoshi Mizunaga, Yamato Tonegawa, Toshiharu Nishimura
  • Patent number: 7332036
    Abstract: The invention relates to a device and a method for control of the operation of a thermal projection torch (12), characterized in that the characteristics of the jet (16) and the temperature of the deposit (24) on the piece (22) are measured by means of a camera (54) and a combined pyrometer (70). The correction to be made to the supply parameters of the torch (12) are deduced therefrom and the corrected parameters are transmitted to the unit (30) controlling the torch (12).
    Type: Grant
    Filed: February 28, 2003
    Date of Patent: February 19, 2008
    Assignee: Snecma Services
    Inventors: Michel Vardelle, Thierry Renault, Cédric Bossoutrot, Frédéric Braillard, Hakim Hoffmann
  • Publication number: 20080032036
    Abstract: A manufacturing apparatus for a semiconductor includes a reaction chamber into which a wafer is introduced, gas supply unit for supplying a gas to the reaction chamber, gas exhaust unit for exhausting the gas from the reaction chamber, a holder for holding the wafer at an outer circumferential part of the wafer, a first heater for heating the wafer from below, a reflector provided above the holder, and a drive mechanism for driving the reflector.
    Type: Application
    Filed: July 26, 2007
    Publication date: February 7, 2008
    Applicant: NuFlare Technology, Inc.
    Inventors: Hideki ITO, Shinichi Mitani, Hironobu Hirata
  • Patent number: 7323061
    Abstract: The invention relates to a device and method for controlling the operation of a thermal spray torch (12). The inventive device and method are characterised in that an on-board camera (54) and pyrometer (70) are used to measure the properties of the jet (16) and the temperature of the deposit (24) on the part (22) and in that the correction to be made to the supply parameters of the torch (12) is deduced. Furthermore, the invention is characterised in that the corrected parameters are sent to the cabinet (30) that controls the torch (12).
    Type: Grant
    Filed: February 28, 2003
    Date of Patent: January 29, 2008
    Assignee: SNECMA Services
    Inventors: Michel Vardelle, Thierry Renault, Cédric Bossoutrot, Frédéric Braillard, Hakim Hoffmann
  • Patent number: 7323062
    Abstract: The invention relates to a device and a method for control of the operation of a thermal projection torch (12), characterized in that the characteristics of the jet (16) and the temperature of the deposit (24) on the piece (22) are measured by means of a camera (54) and a combined pyrometer (70). The correction to be made to the supply parameters of the torch (12) are deduced therefrom and the corrected parameters are transmitted to the unit (30) controlling the torch (12).
    Type: Grant
    Filed: February 28, 2003
    Date of Patent: January 29, 2008
    Assignee: Snecma Services
    Inventors: Michel Vardelle, Thierry Renault, Cédric Bossoutrot, Frédéric Braillard, Hakim Hoffmann
  • Patent number: 7318867
    Abstract: Techniques for a cover layer with uniform thickness are disclosed. The cover layer is formed with a type of material, such as glue, in hardened form. In one embodiment, a certain amount of the material in liquid form is dispensed onto a dispensing structure. After the dispensing structure is engaged to hold a disc, the dispensing structure and the disc as a whole unit is rotated at a predefined speed. While the dispensed material on the dispensing structure is being dispersed evenly onto the surface of the disc as result of the centrifugal force, a heater is employed to retain or control the temperature of the dispensed material so that the flow of the dispensed material outwards can be effectively controlled to form a cover layer of uniform thickness on the disc.
    Type: Grant
    Filed: August 5, 2005
    Date of Patent: January 15, 2008
    Assignee: Anwell Precision Technology (HK) Ltd
    Inventors: Kai Leung Fan, Ming Sang Yeung
  • Publication number: 20070227658
    Abstract: An EC 200 includes a substrate processing execution unit 280 that executes an etching process on a product substrate, a dummy processing execution unit 275 that executes a dummy process on a dummy substrate and a decision-making unit 270 that makes a decision as to whether the dummy process is to be executed based upon a temperature-related condition. The decision-making unit 270 obtains temperature-related information to be used to regulate the atmosphere inside the individual PM processing containers and makes a decision as to whether the temperature status inside each processing container is regulated based upon the obtained temperature information. If it is decided by the decision-making unit 270 that the temperature status in the processing container has been regulated, the substrate processing execution unit 280 executes the etching process on a product substrate without executing the dummy process.
    Type: Application
    Filed: March 28, 2007
    Publication date: October 4, 2007
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takeshi Yokouchi, Fumiko Yagi
  • Patent number: 7267723
    Abstract: A resin block has a treating solution channel extending between and opening at front and back surfaces thereof. Heat conductive members are face-bonded to the front and back surfaces of the resin block, respectively, for closing the channel. Consequently, no air is present between the resin block and heat conduction members, thereby improving the efficiency of heat exchange. A nozzle of simple construction is realized only by face-bonding the two heat conductive members to the open front and rear surfaces of the resin block. Temperature control plates hold the heat conductive members along with the resin block to effect a temperature control, whereby the temperature of a treating solution in the treating solution channel is controlled effectively through the heat conductive members. The treating solution adjusted to a desired temperature is delivered from a discharge opening to a substrate for performing substrate treatment with high accuracy.
    Type: Grant
    Filed: April 30, 2004
    Date of Patent: September 11, 2007
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Shigehiro Goto, Hiroshi Kobayashi
  • Publication number: 20070193511
    Abstract: Bookbinding apparatus adhesive applicator accurately, briefly controls adhesive temperature to a set value by selecting, in accordance with adhesive initial temperature, one of a plurality of temperature-controller heating modes defining different supply powers and supply durations for supplying power to an adhesive-container heater to control its heating temperature. A sensor detects the temperature of the adhesive in the container at applicator start-up, or on restarting a post-standby applicator. In accordance with the detected temperature, one of the heating modes is selected to heat the adhesive. The applicator warm-up time is thus set in response to the state of the adhesive: If solidified, the adhesive is heated and melted in a maximum supply-power, supply-duration mode; if low-temperature liquefied at, it is heated and melted in a second-magnitude supply-power, supply-duration mode; and if the adhesive temperature is high, it is heated and melted in a minimal supply-power, supply-duration mode.
    Type: Application
    Filed: February 19, 2007
    Publication date: August 23, 2007
    Applicant: NISCA CORPORATION
    Inventors: Shinya Sasamoto, Atsushi Tsuchiya
  • Patent number: 7258892
    Abstract: The present disclosure provides methods and systems for controlling temperature. The method has particular utility in connection with controlling temperature in a deposition process, e.g., in depositing a heat-reflective material via CVD. One exemplary embodiment provides a method that involves monitoring a first temperature outside the deposition chamber and a second temperature inside the deposition chamber. An internal temperature in the deposition chamber can be increased in accordance with a ramp profile by (a) comparing a control temperature to a target temperature, and (b) selectively delivering heat to the deposition chamber in response to a result of the comparison. The target temperature may be determined in accordance with the ramp profile, but the control temperature in one implementation alternates between the first temperature and the second temperature.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: August 21, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Kevin L. Beaman, Trung T. Doan, Lyle D. Breiner, Ronald A. Weimer, Er-Xuan Ping, David J. Kubista, Cem Basceri, Lingyi A. Zheng
  • Patent number: 7255747
    Abstract: An apparatus for dispensing fluid during semiconductor substrate processing operations. The apparatus includes a first processing chamber, a second processing chamber, and a dispense arm assembly. The apparatus further includes a dispense arm access shutter positioned between the first and second processing chambers and moveable between an open and a closed position. The dispense arm assembly can travel from the first processing chamber to the second processing chamber when the dispense arm assembly is in the open position.
    Type: Grant
    Filed: April 20, 2005
    Date of Patent: August 14, 2007
    Assignee: Sokudo Co., Ltd.
    Inventors: Tetsuya Ishikawa, Rick Roberts
  • Patent number: 7204885
    Abstract: Chemical vapor deposition systems include elements to preheat reactant gases prior to reacting the gases to form layers of a material on a substrate, which provides devices and systems with deposited layers substantially free of residual compounds from the reaction process. Heating reactant gases prior to introduction to a reaction chamber may be used to improve physical characteristics of the resulting deposited layer, to improve the physical characteristics of the underlying substrate and/or to improve the thermal budget available for subsequent processing.
    Type: Grant
    Filed: July 31, 2002
    Date of Patent: April 17, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Garo J. Derderian, Gordon Morrison
  • Patent number: 7203565
    Abstract: A semiconductor manufacturing apparatus includes: a hot plate that heats an article to be processed; a temperature control section that controls temperature of the hot plate; a main body control section that controls the entirety of the apparatus based on a process recipe; and an elevating mechanism that elevates the article to be processed above the hot plate. The semiconductor manufacturing apparatus further includes: a storage section that stores temperature data of the hot plate; an elevation control section that controls the elevating mechanism and sends elevation timing data to the storage section; a management range calculation section that calculates a management range corresponding to parameter behavior in a transient gradient state based on the temperature data, process recipe data, and the elevation timing data; and an abnormality detection section that detects apparatus abnormality with the use of the management range calculated by the management range calculation section.
    Type: Grant
    Filed: May 17, 2005
    Date of Patent: April 10, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Naoaki Sato
  • Patent number: 7192486
    Abstract: Processing gases reactive with each other are provided in parallel to a processing chamber through separate delivery lines including mass flow controllers devoted to each line. The parallel delivery lines meet in a mixing manifold located proximate to the processing chamber and relatively far downstream from the mass flow controllers and other flow-constricting components of the gas delivery system. The continuous high flow of gas provided by the devoted mass flow controllers may maintain a sufficiently high pressures on the delivery lines to prevent partial clogging from leading to a further drop in pressure and complete obstruction of the delivery line.
    Type: Grant
    Filed: August 15, 2002
    Date of Patent: March 20, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Won Bang, Yen-Kun Wang, Steve Ghanayem
  • Patent number: 7179663
    Abstract: A domed plasma reactor chamber uses an antenna driven by RF energy which is inductively coupled inside the reactor dome. The antenna generates a high density, low energy plasma inside the chamber for etching metals, dielectrics and semiconductor materials. Auxiliary RF bias energy applied to the wafer support cathode controls the cathode sheath voltage and controls the ion energy independent of density. The RF energy inductively coupled to the dome creates a heat that must be moderated. The invention herein utilizes a temperature-controlled airflow to supply a continuously variable air temperature over a wide range of process conditions including idling.
    Type: Grant
    Filed: April 16, 2004
    Date of Patent: February 20, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Sen-Tay Chang
  • Patent number: 7166168
    Abstract: A substrate-coating system and an associated substrate-heating method, wherein the substrate-coating system is equipped with a substrate holder (1, 2) for holding at least one substrate at a coating position where it is coated on a coating side, and with a substrate heater (5, 6). The method includes heating at least one substrate that has been brought into such a system while it is being coated. The substrate heater includes a backside heater (6) for actively heating the substrate from its backside, i.e., that side opposite the side to be coated, while it is at its coating position. A heat-conducting element that is brought into thermal contact with a surface of the substrate may also be provided. Heater power is then regulated, based on the difference between the actual substrate temperature and a preset, desired, substrate temperature, and thereby limited such that the temperature of the heat-conducting element will not excessively increase over that of the substrate.
    Type: Grant
    Filed: May 3, 2005
    Date of Patent: January 23, 2007
    Assignee: Carl Zeiss SMT AG
    Inventors: Matthias Heller, Gean Jack Hsu, Frank Michel
  • Patent number: 7141274
    Abstract: A substrate processing apparatus and method which employs the so-called batch processing method of processing a plurality of substrates simultaneously, thereby increasing the throughput, and which can carry out processing, such as electroless plating, stably and securely with a relatively simple apparatus. The substrate processing apparatus includes: a processing bath (14) for holding a processing liquid (12); and a substrate holder (16) which is vertically movable relative to the processing bath (14) and which includes a plurality of substrate holding portions (40) for holding a plurality of substrates (W) in parallel.
    Type: Grant
    Filed: November 6, 2002
    Date of Patent: November 28, 2006
    Assignee: Ebara Corporation
    Inventors: Xinming Wang, Kenichi Abe, Koji Mishima
  • Patent number: 7090727
    Abstract: A feedthrough device for use in deposition chambers such as chemical vapor deposition chambers and atomic layer deposition chambers and methods using the same in association with such chambers as well as chambers so equipped. The feedthrough device includes an associated heating device to maintain the temperature of the feedthrough device above a predetermined level and thus maintain a temperature differential between the deposition chamber body and a vaporized organometallic precursor as it passes therethrough. The feedthrough device may include a helical groove formed along the surface of a longitudinal body portion thereof to complementarily receive a resistance type cable heater. The heater may further include a temperature sensing device to assist in monitoring and controlling the temperature of the feedthrough device.
    Type: Grant
    Filed: August 17, 2001
    Date of Patent: August 15, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Craig M. Carpenter, Raynald B. Cantin
  • Patent number: 7087119
    Abstract: An apparatus for atomic layer deposition preventing mixing of a precursor gas and an input gas. From the apparatus a flow of the input gas is provided over a surface of the workpiece wherein a beam of the electromagnetic radiation is directed into the input gas in close proximity to the surface of the workpiece, but spaced a finite distance therefrom. The input gas is dissociated by the beam producing a high flux point of use generated reactive gas species that reacts with a surface reactant formed on the surface of the workpiece by a direct flow of the precursor gas flown from the dispensing unit. The surface reactant and reactive gas species react to form a desired monolayer of a material on the surface of the workpiece.
    Type: Grant
    Filed: October 30, 2003
    Date of Patent: August 8, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 6967177
    Abstract: An apparatus for controlling the substrate temperature of a substrate during processing of the substrate at a process energy. A chuck temperature input receives temperature measurements from temperature sensors at a substrate chuck, and a temperature set point input receives temperature set points. The temperature set points define a range of temperatures within which the apparatus maintains the substrate temperature. A chuck temperature controller output sends control signals to a chuck temperature controller, which signals are operable to selectively increase and decrease the chuck temperature. A process energy output sends control signals that are operable to selectively increase and decrease the process energy during the processing of the substrate. A controller compares the temperature measurements received from the temperature sensors at the substrate chuck through the chuck temperature input to the temperature set points received through the temperature set point input.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: November 22, 2005
    Assignee: LSI Logic Corporation
    Inventors: Charles E. May, Hemanshu D. Bhatt
  • Patent number: 6960264
    Abstract: A process for forming a thin layer exhibiting a substantially uniform property on an active surface of a semiconductor substrate. The process includes varying the temperature within a reaction chamber while a layer of a material is formed upon the semiconductor substrate. Varying the temperature within the reaction chamber facilitates temperature uniformity across the semiconductor wafer. As a result, a layer forming reaction occurs at a substantially consistent rate over the entire active surface of the semiconductor substrate. The process may also include oscillating the temperature within the reaction chamber while a layer of a material is being formed upon a semiconductor substrate.
    Type: Grant
    Filed: January 14, 2002
    Date of Patent: November 1, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Garry Anthony Mercaldi, Don Carl Powell
  • Patent number: 6955946
    Abstract: A system for dispensing a viscous material onto a substrate which includes a dispensing element, a viscous material reservoir and a metering device coupled between the reservoir and the dispensing element for metering a variable amount of a viscous material through the dispensing element. The dispensing element and metering device can be moved by a positioner along a predetermined pattern adjacent a surface of a substrate. A weigh scale located adjacent the substrate receives a metered amount of the viscous material and produces signals representative of a variable weight of the material dispensed during a predetermined time interval. A controller adjusts a speed of movement of the positioner along the predetermined pattern to cause the dispensing element to dispense a desired amount of material based on a calculated flow rate.
    Type: Grant
    Filed: March 28, 2003
    Date of Patent: October 18, 2005
    Assignee: Nordson Corporation
    Inventors: Carlos E. Bouras, Duong T. La., Alan R. Lewis, Mark S. Meier, Alec J. Babiarz
  • Patent number: 6921436
    Abstract: An aging unit (DAC) for processing a wafer W having a coated film formed thereon includes a disposing plate, a temperature control circulating device for controlling the temperature of the disposing plate, a chamber, a gas supply mechanism for supplying an ammonia gas containing a water vapor into the chamber, an input section for inputting the processing time of the wafer W, and a control device for controlling the temperature of the disposing plate, the supply rate of the ammonia gas, and the amount of the water vapor contained in the ammonia gas so as to permit the processing of the wafer W to be finished in the processing time inputted into the input section.
    Type: Grant
    Filed: November 8, 2002
    Date of Patent: July 26, 2005
    Assignee: Tokyo Electron Limited
    Inventor: Yuji Ueda
  • Patent number: 6911089
    Abstract: A method and apparatus for coating a work piece. The system comprising an applicator adapted to travel over a portion of the work piece. The system being operable to heat the work piece and/or apply a coating onto the work piece as the applicator travels over the work piece. The system may comprise an induction heating system to heat the work piece. The system may be adapted to apply a variety of coatings, such as a liquid coating and a dry powder coating. The applicator being operable to heat the work piece prior to applying the coating or heating the work piece after the coating has been applied. The applicator may also be adapted to apply heat to heat shrink a coating material onto the work piece.
    Type: Grant
    Filed: November 1, 2002
    Date of Patent: June 28, 2005
    Assignee: Illinois Tool Works Inc.
    Inventor: Steven D. Latvis
  • Patent number: 6902622
    Abstract: Systems and methods for epitaxial deposition. The reactor includes a hot wall process cavity enclosed by a heater system, a thermal insulation system, and chamber walls. The walls of the process cavity may comprises a material having a substantially similar coefficient thermal expansion as the semiconductor substrate, such as quartz and silicon carbide, and may include an isothermal or near isothermal cavity that may be heated to temperatures as high as 1200 degrees C. Process gases may be injected through a plurality of ports, and are capable of achieving a fine level of distribution control of the gas components, including the film source gas, dopant source gas, and carrier gas. The gas supply system includes additional methods of delivering gas to the process cavity, such as through temperature measurement devices, and through a showerhead.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: June 7, 2005
    Assignee: Mattson Technology, Inc.
    Inventors: Kristian E. Johnsgard, David E. Sallows, Daniel L. Messineo, Robert D. Mailho, Mark W. Johnsgard
  • Patent number: 6886618
    Abstract: The present invention provides a process and system for the manufacture of wood-based composites that implement feedback adjusted in-line addition of a curing accelerator/catalyst to a thermosetting resin adhesive based on at least one of: present moisture content of the wood substrate being bonded and the temperature of the wood substrate being bonded.
    Type: Grant
    Filed: June 3, 2003
    Date of Patent: May 3, 2005
    Assignee: Georgia-Pacific Resins, Inc.
    Inventors: Millard E. Foucht, Robert A. Breyer
  • Patent number: 6878232
    Abstract: A method and apparatus for improving an operating efficiency for a process including temperature dependent fluid delivery including determining a projected time period to start a process during a non-operating time period; delivering a process fluid for performing the process along at least one fluid recirculation pathway for at least one selected time period the at least one fluid recirculation pathway including a substantial portion of a fluid delivery pathway for providing the process fluid to the process at a predetermined process temperature; and, providing the process fluid following the at least one selected time period to the process at the predetermined process temperature.
    Type: Grant
    Filed: December 17, 2002
    Date of Patent: April 12, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Peir-Horng Chen, Yi-Ping Chen, Hong-San Lan
  • Patent number: 6858084
    Abstract: The present invention relates to an electroless plating apparatus which can reduce an amount of a plating liquid to be used, maintain a stable plating process, be downsized, reduce an apparatus cost, form a film having a uniform thickness over an entire surface, and prevent a plating liquid from being deteriorated due to a temperature rise. The present invention comprises: a holding device for holding a substrate with a surface, to be plated, facing upwardly; a plating liquid holding mechanism for sealing a periphery of the surface, to be plated, of the substrate held by the holding device; an electroless plating treatment liquid supply device for supplying an electroless plating liquid to the surface, to be plated, of the substrate sealed by the plating liquid holding mechanism to allow supplied electroless plating liquid to be held on the substrate; and a heating apparatus provided below the substrate.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: February 22, 2005
    Assignee: Ebara Corporation
    Inventors: Hiroaki Inoue, Koji Mishima, Tsutomu Karimata, Kenji Nakamura, Moriji Matsumoto, Junji Kunisawa
  • Patent number: 6843069
    Abstract: An etching apparatus of the present invention has a processing device having a reaction chamber in which an electrode provided with a built-in refrigerant-circulating path is installed, a refrigerator for cooling the refrigerant at a predetermined temperature and circulating the refrigerant in the refrigerant-circulating path at a predetermined flow rate, a controlling device for controlling the temperature or flow rate of the refrigerant, a status monitor for monitoring an operational status, and a temperature control device for controlling the temperature of the electrode by controlling the temperature or flow rate of the refrigerant on the basis of information about the operational status.
    Type: Grant
    Filed: January 2, 2003
    Date of Patent: January 18, 2005
    Assignee: NEC Electronics Corporation
    Inventors: Hideki Harano, Hirofumi Seo
  • Patent number: 6838127
    Abstract: An HSG-Si layer is formed on a wafer under a uniform temperature condition. An apparatus for forming the HSG-Si layer includes a housing forming a process chamber, a first heater on which the wafer is positioned fixed in place at the bottom of the process chamber, a second heater at the top of the process chamber, and a thermal insulator which prevents the heat generated by the first heater from being transferred to the outside of the process chamber. A temperature control system regulates the temperature of the heaters. A method of forming the HSG layer includes steps of placing the wafer on the first heater, using the heaters to remove moisture from the wafer, injecting a source gas of the HSG-Si toward the upper surface of the wafer to form amorphous silicon on the wafer, and annealing the wafer for a predetermined period of time to transform the amorphous silicon into an HSG-Si layer.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: January 4, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jong Young Yun
  • Patent number: 6837938
    Abstract: An apparatus for use with a deposition chamber includes a temperature control system that communicates with a heating element of the deposition chamber so as to not cause the formation of a thin layer exhibiting a substantially uniform property on an active surface of a semiconductor substrate. The apparatus causes uneven heat distribution across the surface of the substrate. The apparatus may also include a feedback control system that communicates with the temperature control system so as to cause the temperature control system to alter the heat output by the heating element and, thereby, to enhance the uniformity of at least one property of the material layer being deposited.
    Type: Grant
    Filed: May 8, 2003
    Date of Patent: January 4, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Garry Anthony Mercaldi, Don Carl Powell
  • Patent number: 6824616
    Abstract: The present invention relates to a processing method for processing a substrate, and comprises a step of coating a coating solution on a surface of the substrate while relatively moving a coating solution discharge nozzle and the substrate and discharging the coating solution from the nozzle onto the substrate. Thereafter, the substrate is exposed to a solvent atmosphere of the coating solution or the pressure is temporarily applied thereto in a container. Thereafter, the pressure inside the container in which the substrate is housed is reduced to dry the coating solution on the substrate. According to the present invention, it is possible to narrow the so-called edge cutting width, which is at a periphery part of the substrate and is not commercialized, and to maintain an in-plane uniformity of the coating film.
    Type: Grant
    Filed: April 15, 2002
    Date of Patent: November 30, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Takahiro Kitano, Shinichi Sugimoto, Shinji Kobayashi, Naoya Hirakawa, Akira Fukutomi, Nobukazu Ishizaka
  • Patent number: 6814809
    Abstract: A coating and developing apparatus has an interface section equipped with a temperature adjuster (a cooling unit). A temperature-raised substrate due to exposure on periphery of the substrate outside a circuit-forming area thereon is adjusted to a predetermined temperature by the temperature adjuster and then transferred to an exposing apparatus. The temperature adjustments before exposure provide almost the same temperature over many substrates to be transferred to the exposing apparatus for less thermal effects to exposing processing, thus achieving high yields. The interface section is further provided with first and second transfer mechanisms, the first serving to transfer substrates between the processor and the exposing apparatus and the second serving to transfer substrates to each unit of a shelf section, for high transfer performance, thus achieving high throughput.
    Type: Grant
    Filed: December 7, 2001
    Date of Patent: November 9, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Michiaki Matsushita, Masataka Matsunaga, Seiji Kozawa
  • Patent number: 6811651
    Abstract: A method and system for controlling the temperatures of at least one gas in a plasma processing environment prior to the at least one gas entering a process chamber. This temperature control may vary at different spatial regions of a showerhead assembly (either an individual gas species or mixed gas species). According to one embodiment, an in-line heat exchanger alters (i.e., increases or decreases) the temperature of passing gas species (either high- or low-density) prior to entering a process chamber, temperature change of the gases is measured by determining a temperature of the gas both upon entrance into the in-line heat exchanger assembly and upon exit.
    Type: Grant
    Filed: June 19, 2002
    Date of Patent: November 2, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Maolin Long
  • Patent number: 6808566
    Abstract: The invention includes a hermetic container provided with a substrate mount; a vacuum exhauster connected to the hermetic container; a current member; and a current member raising and lowering mechanism. When the current member is raised and lowered as a function of the pressure inside the hermetic container, a liquid flow of the coating solution on the substrate is controlled, thereby controlling the uniformity of the film thickness of the coating solution.
    Type: Grant
    Filed: August 14, 2002
    Date of Patent: October 26, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Takahiro Kitano, Manabu Hama, Shinichi Sugimoto, Naoya Hirakawa
  • Patent number: 6790783
    Abstract: Methods and apparatus for fabricating and cleaning in-process semi-conductor wafers are provided. An in-process wafer is placed within a closed chamber. A reactant gas is incorporated in a liquid solvent to form a “reactant mixture” that is capable of reacting with photoresist material (or other material) on a wafer surface to facilitate removal of the material from the wafer surface. The reactant mixture is condensed on one or more of the in-process wafer surfaces to form a thin film on the surface(s) of the wafer. The solvent in the reactant mixture acts as a transport medium to place the reactant gas on the wafer surface. The reactant gas is then able to react with the photoresist material (or other material) on the in-process wafer surface to effect removal the material. Following reaction of the reactant gas with the photoresist, the thin film of reactant mixture is removed from the wafer surface by flash heating, rinsing, draining, or other suitable means.
    Type: Grant
    Filed: May 27, 1999
    Date of Patent: September 14, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Terry L. Gilton, Li Li
  • Publication number: 20040161548
    Abstract: The present invention is a hardening processing apparatus for heating a substrate coated with a coating solution to harden the coating film on the substrate, which includes a first processing chamber for mounting the substrate coated with the coating solution on a heating plate and heating the substrate to a predetermined temperature on a one-by-one basis; a first irradiation unit provided in the first processing chamber, for irradiating the substrate mounted on the heating plate with ultraviolet light; and a second processing chamber connected in a communicating manner to the first processing chamber, for mounting the substrate coated with the coating solution on a temperature adjusting plate and adjusting the substrate to a temperature lower than a processing temperature of hardening processing on a one-by-one basis, in which the substrate is heated by the heating plate while being irradiated with the ultraviolet light by the first irradiation unit so that the coating film on the substrate is hardened.
    Type: Application
    Filed: February 10, 2004
    Publication date: August 19, 2004
    Applicant: Tokyo Electron Limited
    Inventors: Shinji Nagashima, Tomohiro Iseki