With Indicating, Testing, Inspecting, Or Measuring Means Patents (Class 118/712)
  • Patent number: 8485125
    Abstract: A transformer arrangement is disclosed for an electrostatic sprayer or in an adjacent moving element of a coating machine. A transformer provides a galvanic isolation between the line arrangement provided for supplying power to the sprayer arrangement, and consumers at high voltage in the sprayer or possibly in the robot arm. This isolation may be provided with an isolating transformer which has a sufficient isolation distance or other isolation device between the primary and secondary circuits.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: July 16, 2013
    Assignee: Dürr Systems GmbH
    Inventors: Michael Baumann, Frank Herre, Juergen Haas, Harry Krumma, Hans-Jurgen Nolte, Marcus Frey, Bernhard Seiz, Herbert Martin, Erwin Bieber, Torsten Block
  • Patent number: 8485123
    Abstract: An imprint lithography apparatus is disclosed. The apparatus has a substrate table configured to support a lithographic substrate and a plurality of nozzles arranged to eject fluid onto the lithographic substrate, the plurality of nozzles extending over a distance which is substantially equal to or greater than a width of the substrate, wherein the nozzles, the lithographic substrate, or both, are moveable relative to the other.
    Type: Grant
    Filed: July 15, 2011
    Date of Patent: July 16, 2013
    Assignees: ASML Netherlands B.V., Koninklijke Philips Electronics N.V.
    Inventors: Johan Frederik Dijksman, Antonius Johannes Joseph Wismans, Anke Pierik, Martin Maurice Vernhout, Sander Frederik Wuister, Yvonne Wendela Kruijt-Stegeman
  • Patent number: 8481344
    Abstract: Apparatus and methods for evaporating metal onto semiconductor wafers are disclosed. One such apparatus can include an evaporation chamber that includes a wafer holder, such as a dome, and a test wafer holder that is separate and spaced apart from the wafer holder. In certain implementations, the test wafer can be coupled to a cross beam supporting at least one shaper. A metal can be evaporated onto production wafers positioned in the wafer holder while metal is evaporated on a test wafer positioned in a test wafer holder. In some instances, the production wafers can be GaAs wafers. The test wafer can be used to make a quality assessment about the production wafers.
    Type: Grant
    Filed: July 8, 2011
    Date of Patent: July 9, 2013
    Assignee: Skyworks Solutions, Inc.
    Inventors: Lam T. Luu, Shiban K. Tiku, Richard S. Bingle, Jens A. Riege, Heather L. Knoedler, Daniel C. Weaver
  • Patent number: 8481434
    Abstract: To remove the deposit including a high dielectric constant film deposited on an inside of a processing chamber, by using a cleaning gas activated only by heat. The method includes the steps of: loading a substrate or a plurality of substrates into the processing chamber; performing processing to deposit the high dielectric constant film on the substrate by supplying processing gas into the processing chamber; unloading the processed substrate from the inside of the processing chamber; and cleaning the inside of the processing chamber by supplying a halide gas and an oxygen based gas into the processing chamber, and removing the deposit including the high dielectric constant film deposited on the inside of the processing chamber, and in the step of cleaning the inside of the processing chamber, the concentration of the oxygen based gas in the halide gas and the oxygen based gas is set to be less than 7%.
    Type: Grant
    Filed: July 8, 2008
    Date of Patent: July 9, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hironobu Miya, Eisuke Nishitani, Yuji Takebayashi, Masanori Sakai, Hirohisa Yamazaki, Toshinori Shibata, Minoru Inoue
  • Publication number: 20130171350
    Abstract: A metal-organic chemical vapor deposition (MOCVD) system is provided for high throughput processing. The system comprises a chamber containing a substrate support system comprising a plurality of substrate support planets operable to support one or more substrates, and a gas emission system operable to provide a plurality of isolated environments suitable for depositing uniform layers on the substrates. The MOCVD system is operable to independently vary one or more process parameters in each isolated environment, and to provide common process parameters to all substrates for depositing one or more layers on all substrates. Methods of forming uniform layers on a substrate are provided wherein at least one of the layers is deposited in an isolated environment.
    Type: Application
    Filed: December 29, 2011
    Publication date: July 4, 2013
    Applicant: Intermolecular Inc.
    Inventors: Philip A. Kraus, Tony P. Chiang, Timothy Joseph Franklin, Chi-I Lang, Sandeep Nijhawan
  • Publication number: 20130167769
    Abstract: Targeted temperature compensation for use with a chemical vapor deposition (CVD) apparatus. A localized temperature monitoring system is configured to provide localized temperature information representing surface temperatures of portions of the one or more wafers on a wafer carrier while the wafer carrier is rotating in a CVD process. A temperature profiling system is configured to generate a temperature profile that is indicative of localized cold spots on a surface of the one or more wafers. The temperature profile is based on the localized temperature information. A targeted heating system is configured to selectively apply localized heat to the localized cold spots dynamically based on the temperature profile such that a thermal distribution of the surface of the one or more wafers is made more uniform while a CVD process is carried out on the CVD apparatus.
    Type: Application
    Filed: December 29, 2011
    Publication date: July 4, 2013
    Inventor: Bassam Shamoun
  • Publication number: 20130171746
    Abstract: An apparatus and a method for controlling critical dimension (CD) of a circuit is provided. An apparatus includes a controller for receiving CD measurements at respective locations in a circuit pattern in an etched film on a first substrate and a single wafer chamber for forming a second film of the film material on a second substrate. The single wafer chamber is responsive to a signal from the controller to locally adjust a thickness of the second film based on the measured CD's. A method provides for etching a circuit pattern of a film on a first substrate, measuring CD's of the circuit pattern, adjusting a single wafer chamber to form a second film on a second semiconductor substrate based on the measured CD. The second film thickness is locally adjusted based on the measured CD's.
    Type: Application
    Filed: February 26, 2013
    Publication date: July 4, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Taiwan Semiconductor Manufacturing Co., Ltd.
  • Publication number: 20130171335
    Abstract: A thin film depositing apparatus and a thin film deposition method using the apparatus. The thin film depositing apparatus includes a chamber configured to have a substrate mounted therein, an ejection unit configured to move in the chamber and to eject a deposition vapor to the substrate, and a source supply unit configured to supply a source of the deposition vapor to the ejection unit.
    Type: Application
    Filed: June 27, 2012
    Publication date: July 4, 2013
    Inventors: Yong-Suk Lee, Myung-Soo Huh, Cheol-Rae Jo, Sang-Hyuk Hong, Jeong-Ho Yi, Suk-Won Jung, Sun-Ho Kim, Mi-Ra An
  • Publication number: 20130167771
    Abstract: A vapor phase growth apparatus with a measuring means which can measure the state of the warpage of a substrate, which is a rotation/revolution type vapor phase growth apparatus with a susceptor and a plurality of substrate retaining members in a chamber, wherein a measuring means comprising a laser source which continuously emits a laser light in a direction perpendicular to the surface of the substrate which is retained in the substrate retaining member and is rotating/revolving by the rotation of the susceptor and a light receiving portion which receives a laser light reflected on the surface of the substrate is fixed on the outer surface of a laser transparent portion provided on the chamber; and a judging means which judges that the substrate is in an abnormal state when the variation of the reflected light received by the light receiving portion is larger than a preset variation is provided.
    Type: Application
    Filed: January 30, 2012
    Publication date: July 4, 2013
    Applicant: TAIYO NIPPON SANSO CORPORATION
    Inventors: Akira Yamaguchi, Akinori Ubukata
  • Publication number: 20130171349
    Abstract: To provide a sample preparation device that is appropriate for the formation of a matrix film for MALDI through vacuum vapor deposition. A sample preparation device is provided with: a sample substrate support unit 23 for supporting a substance to be analyzed on a substrate S so that the substance faces a vapor deposition source 21 for a matrix substance J; a light amount measurement unit for irradiating a matrix film vapor deposited on the substrate S with measurement light diagonally and detecting the amount of measurement light that has transmitted through or has been reflected from the above-described matrix film diagonally; and an adhesion prevention means 23a for preventing the matrix substance that has flown off from the above-described vapor deposition source 21 from adhering to the above-described light amount measurement unit.
    Type: Application
    Filed: November 12, 2012
    Publication date: July 4, 2013
    Applicant: SHIMADZU CORPORATION
    Inventor: SHIMADZU CORPORATION
  • Publication number: 20130167772
    Abstract: A film forming apparatus includes a substrate holding unit holding substrates at intervals; a reaction chamber accommodating the substrate holding unit; a raw material gas supply pipe supplying a raw material gas of a thin film to the substrate; a support unit supporting the reaction chamber; a heating unit being disposed outside the reaction chamber and heating the substrates; a protection pipe including one end portion fixed to the support unit, being extended along an arrangement direction of the substrates between the substrate holding unit and the reaction chamber, and including a temperature measuring unit inserted therein; and a protrusion portion being provided on at least one of an outer surface of the protection pipe and an inner surface of the reaction chamber, and providing a gap between the outer surface of the protection pipe and the inner surface of the reaction chamber.
    Type: Application
    Filed: June 29, 2012
    Publication date: July 4, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Izumi SATO, Isao SHIRATANI, Satoshi ASARI, Tsuyoshi MURAKAMI
  • Publication number: 20130171351
    Abstract: A system that may include a printing module arranged to print patterns on a substrate by inkjetting ink on the substrate; and a substrate supporting module that comprises: a porous material module for supporting the substrate and providing vacuum to multiple locations of the substrate when the substrate is placed on a flat upper surface of the porous material module; and an interface module that comprises: at least one inlet for receiving vacuum from a vacuum system; at least one outlet for providing the vacuum to the porous material module; and at least one structural element arranged to contact the porous material module and provide mechanical support to the porous material module.
    Type: Application
    Filed: July 16, 2012
    Publication date: July 4, 2013
    Applicant: CAMTEK LTD.
    Inventors: Uri Vekstein, Tzachi Pressburger
  • Patent number: 8474398
    Abstract: A patch application system is provided comprising a powder application booth. The powder application booth comprises a powder block to temporarily house the powder prior to ejection, a nozzle insert to guide the powder onto the screw, a support stand to adjust the powder block to the appropriate position relative to the screw for a desired patch location and size, a powder cup to deliver the powder to the powder block. A programmable logic controller electronically coupled to the micro air-switch valve controls the duration the air-switch valve remains open. The entire process may be automated by including a screw hopper to temporarily store a plurality of screws, a bowl feeder receiving the screws and delivering the screws to a rotating disk, wherein the rotating disk passes the screws through a heating element and presents the heated screws to the powder application booth.
    Type: Grant
    Filed: October 6, 2010
    Date of Patent: July 2, 2013
    Assignee: Long-Lok Fasteners Corporation
    Inventor: Terry J. Rowley
  • Publication number: 20130164943
    Abstract: The substrate processing apparatus includes a reaction chamber configured to accommodate a substrate; a first gas supply unit configured to supply a first process gas containing a silicon element to the substrate; a second gas supply unit configured to supply a second process gas containing a silicon element and a chlorine element to the substrate; an exhaust unit configured to exhaust the first process gas and the second process gas; a cleaning gas bypass supply unit configured to supply a cleaning gas to the exhaust unit; a cleaning monitoring unit installed in the exhaust unit; a gas flow rate control unit configured to adjust an amount of the cleaning gas supplied; and a main control unit configured to control the gas flow rate control unit in response to a signal received from the cleaning gas monitoring unit.
    Type: Application
    Filed: December 26, 2012
    Publication date: June 27, 2013
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: Hitachi Kokusai Electric Inc.
  • Publication number: 20130164865
    Abstract: A method of manufacturing a light-emitting device which includes a light-emitting source by applying, onto the light-emitting source, a fluorescent resin which includes fluorescent particles and is stored in and discharged from an applicator, the method includes: measuring a first concentration which is a concentration of the fluorescent particles included in the fluorescent resin discharged from the applicator; and applying, onto the light-emitting source, the fluorescent resin in an application amount determined based on the first concentration which has been measured and reference data which indicates a relationship between a concentration of the fluorescent particles and an application amount of the fluorescent resin that enables the light-emitting device to have constant chromaticity.
    Type: Application
    Filed: May 11, 2012
    Publication date: June 27, 2013
    Applicant: PANASONIC CORPORATION
    Inventors: Hirotoshi Oikaze, Katsuyuki Nagahama, Kentaro Nishiwaki, Yasuhiro Kabetani
  • Publication number: 20130156937
    Abstract: Embodiments provided herein describe systems and methods for aligning sputtering sources, such as in a substrate processing tool. The substrate processing tool includes at least one sputtering source and a device. Each of sputtering sources includes a target having a central axis. The device has an axis and is detachably coupled to the at least one sputtering source. The device indicates to a user a direction in which the central axis of the target of the at least one sputtering source is oriented.
    Type: Application
    Filed: December 16, 2011
    Publication date: June 20, 2013
    Applicant: Intermolecular, Inc.
    Inventors: Danny Wang, Kent Riley Child, Owen Ho Yin Fong
  • Publication number: 20130157390
    Abstract: An ion implantation method includes transporting ions to a wafer as an ion beam, causing the wafer to undergo wafer mechanical slow scanning and also causing the ion beam to undergo beam fast scanning or causing the wafer to undergo wafer mechanical fast scanning in a direction perpendicular to a wafer slow scanning direction, irradiating the wafer with the ion beam by using the wafer slow scanning in the wafer slow scanning direction and the beam fast scanning of the ion beam or the wafer fast scanning of the wafer in the direction perpendicular to the wafer slow scanning direction, measuring a two-dimensional beam shape of the ion beam before ion implantation into the wafer, and defining an implantation and irradiation region of the ion beam by using the measured two-dimensional beam shape to thereby regulate the implantation and irradiation region.
    Type: Application
    Filed: December 10, 2012
    Publication date: June 20, 2013
    Applicant: SEN Corporation
    Inventor: SEN Corporation
  • Patent number: 8459202
    Abstract: A gas flow of a gas pipe is indicated before an electromagnetic valve is actually opened, so that the electromagnetic valve can be prevented from being opened or closed by a wrong manipulation or hazards caused by undesired mixing of gases can be avoided so as to improve safety. The substrate processing apparatus includes a state detection unit configured to detect an opening/closing request state and an opening/closing state of a valve installed at a gas pipeline; and a indication unit configured to indicate a gas flow state of the gas pipeline predicted according to the opening/closing request state and a gas flow state of the gas pipeline when the valve is opened, in a way that each state is distinguished.
    Type: Grant
    Filed: September 28, 2009
    Date of Patent: June 11, 2013
    Assignee: Hitachi Kokusai Electronics Inc.
    Inventors: Tomoyuki Yamada, Mamoru Oishi, Kanako Kitayama
  • Publication number: 20130143166
    Abstract: There is provided a resist film forming apparatus including a coating unit configured to drop, rotate, and spread a resist while rotating a substrate, a heating unit configured to heat a specimen in which the resist is coated on the substrate, a metering unit configured to measure a weight of the specimen being heated, and a control unit configured to control lamination of a plurality of resist layers on the specimen by executing a process of forming a resist layer on the substrate by performing heating in the heating unit until a predetermined amount of solvent has evaporated from a resist coated on the specimen based on the measured weight of the specimen, and repeating for a predetermined number of times a process of forming a new resist layer on a resist layer formed on the specimen by similarly controlling the coating unit and the heating unit.
    Type: Application
    Filed: September 12, 2012
    Publication date: June 6, 2013
    Applicant: SONY CORPORATION
    Inventor: Tomohide Jozaki
  • Publication number: 20130139752
    Abstract: An alignment film repairing system comprises an inspection device which has a charge coupling device and a defective position detecting circuit, the charge coupling element detects a defective position of an alignment film on a TFT/CF substrate, the defective position detecting circuit records a signal of position coordinate corresponding to the defective position; an alignment film defect elimination device eliminates a defect on the defective position on the TFT/CF substrate based on the signal of position coordinate to form a pin hole; and an alignment film repairing agent coating device coats and repairs the pin hole with an alignment film repairing agent based on the signal of position coordinate.
    Type: Application
    Filed: December 31, 2011
    Publication date: June 6, 2013
    Inventor: Yu Song
  • Patent number: 8453599
    Abstract: A resist solution supply apparatus of the present invention includes: a resist solution supply source storing a resist solution therein; a supply tube for supplying the resist solution from the resist solution supply source to a coating nozzle; a filter provided in the supply tube for removing foreign matter in the resist solution; and a heating unit provided along the supply tube at a position closer to the resist solution supply source than the filter, for heating the resist solution in the supply tube to a predetermined temperature higher than room temperature to make a resist gel to aggregate to become coarse so that the coarse resist gel can be collected and removed by the filter.
    Type: Grant
    Filed: August 5, 2010
    Date of Patent: June 4, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Kosuke Yoshihara, Yusuke Yamamoto
  • Patent number: 8453595
    Abstract: A jetting apparatus for jetting droplets of viscous medium onto a substrate and a method of improving the performance of the jetting apparatus are provided. The jetting apparatus includes a jetting outlet through which the droplets are jetted, a flow generator for producing a flow of air past the jetting outlet for removing viscous medium residue at the jetting outlet, a receptacle for receiving viscous medium residue transported by the air flow away from the jetting outlet, and a flow path for the air flow between the jetting outlet and the flow generator, via the receptacle. A flow sensor is arranged in the flow path for measuring the air flow. An air flow signal representative of the air flow is obtained, the air flow signal is evaluated for determining at least one status of the jetting apparatus, and an indication of the status is provided.
    Type: Grant
    Filed: November 14, 2006
    Date of Patent: June 4, 2013
    Assignee: Mydata Automation AB
    Inventors: William Holm, Håkan Sandell, Johan Kronstedt
  • Publication number: 20130133576
    Abstract: An exhaust opening of a process chamber (12) contained in a vacuum chamber (11) is connected to an exhaust line (13) by a connector comprising a bellows (03) with one end which is connected to the exhaust line (13) fixed to a housing and its opposite end carrying a coupling tube (02) for connecting to a coupling ring (01) which surrounds the exhaust opening, the coupling tube (02) being elastically biased towards the same. The coupling tube (02) can be moved between a connected state where it is in contact with the coupling ring (01) and a disconnected state by an actuator reciprocatable in an axial direction perpendicular to the exhaust opening. To enable a gas-tight connection with the process chamber (12) the coupling tube (02) has lateral play such that it can align with the coupling ring (01) when a conical surface of the coupling tube (02) contacts a complementary conical surface on the coupling ring (01) in the connected state.
    Type: Application
    Filed: May 28, 2011
    Publication date: May 30, 2013
    Applicant: OC Oerlikon Balzers AG
    Inventor: Rolf Bazlen
  • Publication number: 20130133580
    Abstract: A processing chamber is provided. The processing chamber includes a lid having a plurality of valves affixed thereto, the plurality of valves operable to enable process gases to flow into the chamber. The chamber includes a bottom portion, where the bottom portion includes a base and side walls extending from the base. A surface of the base is configured to support a substrate. A showerhead is affixed to a bottom surface of the lid. A bottom surface of the showerhead is configured to include a central port for providing process gases into the chamber. The central port is surrounded by an annular pump channel. The annular pump channel is surrounded by an annular purge channel, where a first ridge separates the delivery region and the annular pump channel and a second ridge separates the annular pump channel and the annular purge channel.
    Type: Application
    Filed: November 29, 2011
    Publication date: May 30, 2013
    Applicant: Intermolecular, Inc.
    Inventor: Jason Wright
  • Publication number: 20130133575
    Abstract: A method and device for measuring the thickness of a coating material layer of a running strip according to which, by means of an eddy current sensor for at least one area of the strip, a quantity is measured, representative of the thickness of the coating layer and the thickness of the coating layer is determined from the measured quantity and from at least one calibration value. The measurement made with an eddy current sensor comprises the measurement of the complex impedance of a coil facing the running strip for a low excitation frequency and a high excitation frequency and the elaboration of a quantity representative of the thickness of the coating layer from said complex impedance measurements. A device for applying the method and a coating installation equipped with the device.
    Type: Application
    Filed: May 30, 2011
    Publication date: May 30, 2013
    Applicant: ARCELORMITTAL INVESTIGACION Y DESARROLLO, S.L.
    Inventor: Pierre Gauje
  • Publication number: 20130134387
    Abstract: Manufacturing variation (production fluctuation) of designed doping concentration and the concentration distribution in the direction of depth can be inhibited and light emitting output can be improved and stabilized.
    Type: Application
    Filed: September 14, 2012
    Publication date: May 30, 2013
    Applicant: Sharp Kabushiki Kaisha
    Inventor: Kaihara RYU
  • Publication number: 20130133574
    Abstract: A material deposition system for depositing materials on an electronic substrate with a material deposition system is disclosed. The deposition system includes a frame, a gantry system coupled to the frame, a deposition head coupled to the gantry system and configured to deposit dots of low viscous and semi-viscous material on the electronic substrate, and a controller configured to control the operation of the material deposition system, including the operation of the gantry system and the deposition head. The system is capable of depositing a line or a pattern of material on the electronic substrate by moving the deposition head along an axis of motion that is substantially non-parallel to a direction of the line or pattern. Other deposition systems and methods are further disclosed.
    Type: Application
    Filed: November 29, 2011
    Publication date: May 30, 2013
    Applicant: Illinois Tool Works Inc.
    Inventors: Dennis G. Doyle, Thomas C. Prentice, Patsy A. Mattero, David P. Prince
  • Publication number: 20130136862
    Abstract: A plurality of independent reaction cells are disposed within a single process module to allow the deposition of films using MOCVD wherein parameters of the deposition are varied in a combinatorial manner. In some embodiments of the present invention, a plurality of independent reaction cells are disposed within a isolated process modules configured in a linear fashion to allow the deposition of films using MOCVD wherein parameters of the deposition are varied in a combinatorial manner. The independent reaction cells may also be utilized to form multilayer film stacks that are varied in a combinatorial manner.
    Type: Application
    Filed: November 30, 2011
    Publication date: May 30, 2013
    Applicant: Intermolecular, Inc.
    Inventor: Peter Satitpunwaycha
  • Patent number: 8449708
    Abstract: A device for the thermal bonding of a flexible covering (13) to a support (12), using a fluidized bed of particles (4), includes an internal zone, called a heating box (10) smaller in size than that of the enclosure (2), which is placed approximately at the center of the latter, the heating box having a gas distributor (6b), a diffusion mesh (7b) and a gas feed system (5b, 9) that are separate and isolated from those of the enclosure, and heating elements (11) designed to be placed in the particle bed. A thermal bonding method suitable for being implemented by this device is also described.
    Type: Grant
    Filed: August 19, 2009
    Date of Patent: May 28, 2013
    Assignee: C-Gex System's
    Inventors: Christian Guilhem, Jacques Guilhem
  • Publication number: 20130125814
    Abstract: A painting system operating in recirculating air mode, comprising a spray booth (1) which has from the top downwards an air plenum (2), a painting zone (3) and a wash-out (4). The recirculating air flowing through the painting zone (3) picks up overspray particles which are largely removed again from the recirculating air in the wash-out (4) with the aid of a stream of water. The recirculating air passes through a conditioning unit (21) before said air is returned to the air plenum (2) of the painting booth (1). The conditioning unit (21) comprises at least one capacitor (29) and/or a droplet separator (30), with the aid of which a liquid can be recovered from the recirculated air. Said liquid is fed to a supply source (24, 25) for flushing liquid. The flushing liquid is used for cleaning the application equipment in the painting zone (3).
    Type: Application
    Filed: July 28, 2011
    Publication date: May 23, 2013
    Applicant: EISENMANN AG
    Inventors: Michael Schlipf, Detlef Heiland
  • Publication number: 20130129913
    Abstract: The present invention relates to a coating apparatus having a coating platform, a coating extrusion head and a control unit controlling the coating extrusion head to perform a coating operation. The control unit is connected to the coating extrusion head. The coating apparatus further has an image sensor used to check the coating effect of a substrate placed on the coating platform. The present invention further relates to a coating method. The coating apparatus and the coating method use the image sensor to timely check coating defects.
    Type: Application
    Filed: December 5, 2011
    Publication date: May 23, 2013
    Applicant: SHENZHEN CHINA STAR OPTOELLECTRONICS TECHNOLOHY CO., LTD.
    Inventor: Maochang Yan
  • Publication number: 20130118404
    Abstract: A method and apparatus for the deposition of thin films is described. In embodiments, systems and methods for epitaxial thin film formation are provided, including systems and methods for forming binary compound epitaxial thin films. Methods and systems of embodiments of the invention may be used to form direct bandgap semiconducting binary compound epitaxial thin films, such as, for example, GaN, InN and AlN, and the mixed alloys of these compounds, e.g., (In, Ga)N, (Al, Ga)N, (In, Ga, Al)N. Methods and apparatuses include a multistage deposition process and system which enables rapid repetition of sub-monolayer deposition of thin films.
    Type: Application
    Filed: December 14, 2012
    Publication date: May 16, 2013
    Applicant: INTERMOLECULAR, INC.
    Inventor: Intermolecular, Inc.
  • Publication number: 20130122704
    Abstract: There is provided an electroless plating apparatus which, despite using a high-productivity batch processing method, can reduce the amount of a liquid chemical brought out of a processing tank, thereby reducing the cleaning time in a cleaning step, and can perform flushing easily and quickly. The electroless plating apparatus includes a pre-plating treatment module including a pre-plating treatment tank, a plating module, and an inter-module substrate transport device. The pre-plating treatment tank is provided with a pre-plating treatment solution circulation line having a temperature control function for a pre-plating treatment solution. The plating tank is provided with a plating solution circulation line having a filter and a temperature control function for a plating solution. The plating solution circulation line is connected to a flushing line for flushing the interior of the plating solution circulation line and the interior of the plating tank.
    Type: Application
    Filed: November 15, 2012
    Publication date: May 16, 2013
    Applicants: Dainippon Screen Mfg. Co., Ltd., EBARA CORPORATION
    Inventors: EBARA CORPORATION, Dainippon Screen Mfg. Co., Ltd.
  • Publication number: 20130122186
    Abstract: A coating apparatus and method allow for precise control of the application of a coating material on a substrate and provides a data recording system which is useful for certifying a coating job and assessing when and where any abnormalities occur.
    Type: Application
    Filed: November 10, 2011
    Publication date: May 16, 2013
    Applicant: HOPPEL FABRICATION SPECIALTIES, INC.
    Inventor: Steffon L. Hoppel
  • Publication number: 20130118403
    Abstract: A chemical bath deposition system is used for forming a buffer layer on a back electrode substrate having a photoelectric transducing layer. The chemical bath deposition system includes a chemical bath tank, a chemical-solution purification device, and a dosing device. The chemical bath tank is used for storing a buffer-layer solution including cation and anion. The cation is adapted to react with the anion to form the buffer layer when the back electrode substrate is immersed in the buffer-layer solution. The chemical-solution purification device is communicated with the chemical bath tank for removing residual cation to obtain a purified solution after the cation reacts with the anion to form the buffer layer. The dosing device is for performing compensation of the cation according to a component ratio of a purified solution.
    Type: Application
    Filed: May 9, 2012
    Publication date: May 16, 2013
    Inventors: Shih-Wei Lee, Lung-Chieh Wang, Chih-Lung Lin
  • Publication number: 20130122616
    Abstract: A method of providing a plurality of classified photovoltaic articles, including the steps of providing a first photo-voltaic element that includes a plurality of photovoltaic articles on a continuous flexible substrate, forming a electrically insulating material on the first photovoltaic element at one or more predetermined locations, separating adjacent photovoltaic articles from each other, determining an efficiency of each photovoltaic article by measuring its current-voltage characteristics, and classifying each photovoltaic article according to its efficiency.
    Type: Application
    Filed: July 29, 2011
    Publication date: May 16, 2013
    Inventors: Marty W. Degroot, Narayan Ramesh, Stephen J. Marinella, Zachary J. Marinella, David J. Perkon, Jeffrey P. Wilkinson
  • Publication number: 20130112140
    Abstract: In certain desirable embodiments, the present invention relates to the use of 15N isotopes into GaAsN, InAsN or GaSbN films for ion beam analysis. A semiconductor-nitride assembly for growing and analyzing crystal growth in a group III-V semiconductor sample that includes: a substrate; a buffer layer deposited on the substrate, a nitrogen gas injector to incorporate enriched nitrogen gas and the nitrogen gas injector includes a concentration of enriched nitrogen gas, a thin film consisting of at least one group III element containing compound where at least one group III element is covalently bonded with the nitrogen in the presence of the same or different group V element of the buffer layer, and a proton beam to analyze the incorporation of the nitrogen gas in the thin film layer is described.
    Type: Application
    Filed: June 1, 2012
    Publication date: May 9, 2013
    Applicant: U.S. Government as represented by the Secretary of the Army
    Inventors: Stefan P Svensson, John D Demaree
  • Publication number: 20130115764
    Abstract: A system for processing substrates has a vacuum enclosure and a processing chamber situated to process wafers in a processing zone inside the vacuum enclosure. Two rail assemblies are provided, one on each side of the processing zone. Two chuck arrays ride, each on one of the rail assemblies, such that each is cantilevered on one rail assemblies and support a plurality of chucks. The rail assemblies are coupled to an elevation mechanism that places the rails in upper position for processing and at lower position for returning the chuck assemblies for loading new wafers. A pickup head assembly loads wafers from a conveyor onto the chuck assemblies. The pickup head has plurality of electrostatic chucks that pick up the wafers from the front side of the wafers. Cooling channels in the processing chucks are used to create air cushion to assist in aligning the wafers when delivered by the pickup head.
    Type: Application
    Filed: November 8, 2012
    Publication date: May 9, 2013
    Applicant: INTEVAC, INC.
    Inventor: Intevac, Inc.
  • Publication number: 20130115720
    Abstract: A method and apparatus for determining grain size of a surface. A light source is directed at the surface. Reflected light from the surface is detected. A peak surface grain wavelength is determined from the reflected light. The peak surface grain wavelength is converted to a grain size. Grain size of a semiconductor surface is used as a feedback input to control a manufacturing process.
    Type: Application
    Filed: November 7, 2011
    Publication date: May 9, 2013
    Inventors: Arnold Allenic, Oleh Petro Karpenko, Erel Milshtein, Ming L. Yu
  • Publication number: 20130115375
    Abstract: This disclosure is related to systems and methods of sealing a stone and is particularly useful for sealing a stone countertop, though it could also be applied to stone tiles or other types of stone products. In one example, a method for sealing stone may include applying heat to a stone and applying a sealant to the stone when the temperature of the stone is above a temperature threshold. The sealant may be an impregnating sealer.
    Type: Application
    Filed: November 3, 2011
    Publication date: May 9, 2013
    Inventor: Justin Howe
  • Publication number: 20130108794
    Abstract: [Problems] In an oven used for printing machine and the like, drying is rendered possible to be conducted without decreasing traveling speed (120 m/min or more) by a short and compact drying zone for a coating liquid agent which is made aqueous as well as oily coating liquid agent. [Solving Means] A plurality of hot air blow-off nozzles 222 are provided in the drying portion 220 of the first printing unit 200. Heating guide rolls 223a are provided at the former portion, and cooling guide rolls 223b are provided at the latter portion, so that they meet the hot air blow-off nozzles 222. Liquid delivery pipes 224a for injecting hot water are connected to the heating guide rolls 223a, and liquid delivery pipes 224b for injecting cooling water are connected to the cooling guide rolls 223b. Introduced raw web 1 is heated to the boiling point of solvent or higher than that by the heating guide rolls 223a.
    Type: Application
    Filed: November 1, 2011
    Publication date: May 2, 2013
    Applicant: NAKAMOTO PACKS CO., LTD.
    Inventors: Shunichiro NAKAMOTO, Tadayuki MUKAI, Yuji SEKIGUCHI
  • Publication number: 20130105064
    Abstract: The present invention is a label system and method for label alignment and placement on a container. The label system includes a first label or a container whereby the first label includes alignment symbology and a second label having an alignment area corresponding to the alignment symbology of the first label. The second label is positioned on the container whereby the alignment area of the second label is aligned with the alignment symbology of the first label. The method for label alignment and placement comprises the steps of (i) providing a container with identification information and a label bearing area; (ii) scanning the identification information; (iii) processing the identification information; (iv) printing indicia on a label at a position defined by the identification information; and (v) placing the label on the container with the indicia positioned at the desired predetermined location.
    Type: Application
    Filed: May 25, 2012
    Publication date: May 2, 2013
    Applicant: BECTON, DICKINSON AND COMPANY
    Inventors: Timothy A. Stevens, Jeff Campbell, Alexander Gelbman, Neil A. Moore, Steven R. Savitz
  • Patent number: 8431180
    Abstract: In a paint plant which comprises at least one spray-painting device incorporating at least one application unit for painting workpieces and in particular motor vehicle bodies with a fluid paint, in order to enable the fluid paint overspray i.e. the paint particles which are not adhering to the workpieces that are to be painted and which are picked up and carried along in an air flow passing through the application area of the paint shop, to be re-separated from this air flow and also to enable the cleansed air flow to be returned to the application area in an air re-circulating system or else expelled into the environment of the plant, the paint shop comprises a device for separating fluid paint overspray from a stream of crude gas that contains overspray particles wherein this device comprises at least one filter element for separating the overspray from the stream of crude gas.
    Type: Grant
    Filed: June 17, 2011
    Date of Patent: April 30, 2013
    Assignee: Dürr Systems GmbH
    Inventors: Hans-Georg Fritz, Jens Holzheimer, Dietmar Wieland, Frank Herre, Jürgen Weschke
  • Publication number: 20130102159
    Abstract: To provide a substrate processing apparatus, including: a plurality of process chambers in which a prescribed number of each type of substrates is processed; and a controller configured to decide the number of dummy substrates so that the number of the dummy substrates used in each process chamber is approximately the same between the process chambers, when the number of the dummy substrates used in each process chamber is decided so that the number of each type of substrates used in each process chamber reaches the prescribed number.
    Type: Application
    Filed: October 1, 2012
    Publication date: April 25, 2013
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: HITACHI KOKUSAI ELECTRIC INC.
  • Patent number: 8424487
    Abstract: Disclosed herein is a roll-to-roll patterning apparatus and a patterning system using the same. The patterning system may include a supply roll to supply a film member, a recovery roll to recover the film member, and a roll-to-roll patterning apparatus forming a coating on the film member. The roll-to-roll patterning apparatus may include a pattern roller, a plurality of press rollers, and an alignment roller. The pattern roller may include an outer peripheral surface with a first pattern. The plurality of press rollers may press a film member against the pattern roller to form a second pattern on the film member. The alignment roller may be spaced apart from the pattern roller and may be arranged at an upstream position in a movement direction of the film member. The alignment roller may align the film member entering a region between the pattern roller and the plurality of press rollers.
    Type: Grant
    Filed: April 28, 2010
    Date of Patent: April 23, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young Tae Cho, Sin Kwon, Ki Hyun Kim, Jung Woo Seo, Dong Min Kim, Jeong Gil Kim
  • Publication number: 20130092085
    Abstract: Embodiments relate to a linear deposition apparatus with mechanism for securing a shadow mask and a substrate onto a susceptor. The linear deposition apparatus includes a set of members attached to latches that are raised to unlock the shadow mask and the substrate from the susceptor. The latches are lowered to secure the shadow mask and the substrate to the susceptor. Another set of members are provided in the linear deposition apparatus to move and align the shadow mask with the substrate. The linear deposition apparatus also includes a main body and two wings provided at both sides of the main body to receive the substrate as the substrate moves linearly to expose the substrate to materials or radicals injected by reactors.
    Type: Application
    Filed: October 9, 2012
    Publication date: April 18, 2013
    Applicant: SYNOS TECHNOLOGY, INC.
    Inventor: Synos Technology, Inc.
  • Publication number: 20130092084
    Abstract: Systems and methods to determine ozone concentration in a gas mixture of ozone and oxygen, based on measurements of a total mass flow and a corresponding change in a chamber pressure accepting the mixture flow, can enable the measurements of ozone concentration at low pressure settings. The ozone concentration determination can be applied to a vacuum processing chamber, enabling precision semiconductor processing.
    Type: Application
    Filed: October 12, 2011
    Publication date: April 18, 2013
    Applicant: INTERMOLECULAR, INC.
    Inventors: ShouQian Shao, Jay DeDontney, Jason Wright
  • Publication number: 20130095577
    Abstract: Described herein is a method and apparatus for measuring the thickness of a deposited semiconductor material. A colorimeter has an optical source that illuminates a portion of a deposited semiconductor material with optical radiation, a sensor that collects and measures color information related to reflected radiation from the deposited semiconductor material, and a processor that receives the color information related to the reflected radiation from the sensor and calculates a thickness of the semiconductor material. The processor may control a semiconductor material deposition apparatus.
    Type: Application
    Filed: October 4, 2012
    Publication date: April 18, 2013
    Applicant: FIRST SOLAR, INC.
    Inventor: FIRST SOLAR, INC.
  • Publication number: 20130087098
    Abstract: A process control system is provided for use with a processing tool for thin film patterning by a material removal processing system. The system includes an optical end-point detector operable within a working area defined by the processing tool when the processing tool is applied to an article, the optical end-point detector performing in-situ measurements of parameters of patterned thin film on the article. An optical integrated monitoring tool is installed with the processing tool and operable outside the working area for measuring parameters of the patterned thin film on the article. A control unit is connected to the end-point detector and to the integrated monitoring tool, and includes processing and computational intelligence responsive to data received from the end-point detector and to the measured data received from the integrated monitoring tool for analyzing data and generating a signal for terminating the patterning of the thin film on the article.
    Type: Application
    Filed: September 27, 2012
    Publication date: April 11, 2013
    Applicant: NOVA MEASURING INSTRUMENTS LTD.
    Inventor: NOVA MEASURING INSTRUMENTS LTD.
  • Publication number: 20130084393
    Abstract: A processing liquid is supplied onto a substrate rotated by a spin chuck in a coating processing unit so that a film of the processing liquid is formed, and a rinse liquid is supplied to a peripheral edge of the substrate so that a processing liquid on the peripheral edge of the substrate is removed. An edge cut width between a position of an outer peripheral portion of the substrate rotated by the spin chuck in an edge exposure unit and a position of an outer peripheral portion of a film on the substrate is detected. Based on the detected edge cut width, a positional deviation of the center of the substrate held in the spin chuck from a rotation center of the spin chuck in the coating processing unit is determined while a supply state of the rinse liquid by an edge rinse nozzle is determined.
    Type: Application
    Filed: September 21, 2012
    Publication date: April 4, 2013
    Inventors: Masahito KASHIYAMA, Shigehiro GOTO, Tomohiro MATSUO, Tomohiro GOTO